|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 250 occurrences of 195 keywords
|
|
|
Results
Found 213 publication records. Showing 213 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
65 | Cuihong Li, Katia P. Sycara, Joseph Andrew Giampapa |
Dynamic Outside Options in Alternating-Offers Negotiations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 38th Hawaii International Conference on System Sciences (HICSS-38 2005), CD-ROM / Abstracts Proceedings, 3-6 January 2005, Big Island, HI, USA, 2005, IEEE Computer Society, 0-7695-2268-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
64 | Wojciech Moczydlowski, Alfons Geser |
Termination of Single-Threaded One-Rule Semi-Thue Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTA ![In: Term Rewriting and Applications, 16th International Conference, RTA 2005, Nara, Japan, April 19-21, 2005, Proceedings, pp. 338-352, 2005, Springer, 3-540-25596-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
uniform termination, one-rule, single-rule, single-threaded, well-behaved, termination, string rewriting, semi-Thue system |
46 | Cheng Wang 0013, Youfeng Wu, Edson Borin, Shiliang Hu, Wei Liu 0014, Dave Sager, Tin-Fook Ngai, Jesse Fang |
Dynamic parallelization of single-threaded binary programs using speculative slicing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 158-168, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
backward slicing, binary optimization, single-thread performance, parallelization, speculations |
37 | Neil Vachharajani, Matthew Iyer, Chinmay Ashok, Manish Vachharajani, David I. August, Daniel A. Connors |
Chip multi-processor scalability for single-threaded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 33(4), pp. 44-53, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
37 | Robert S. Boyer, J Strother Moore |
Single-Threaded Objects in ACL2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, 4th International Symposium, PADL 2002, Portland, OR, USA, January 19-20, 2002, Proceedings, pp. 9-27, 2002, Springer, 3-540-43092-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
35 | Mainak Chaudhuri |
Pseudo-LIFO: the foundation of a new family of replacement policies for last-level caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 401-412, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
chip-multiprocessor, replacement policy, last-level cache |
35 | Michael Zhang, Krste Asanovic |
Victim Replication: Maximizing Capacity while Hiding Wire Delay in Tiled Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 336-345, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Jaideep Moses, Ramesh Illikkal, Ravi R. Iyer 0001, Ram Huggahalli, Donald Newell |
ASPEN: Towards Effective Simulation of Threads and Engines in Evolving Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2004), 4-8 October 2004, Vollendam, The Netherlands, pp. 51-58, 2004, IEEE Computer Society, 0-7695-2251-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Charles R. Severance, Richard J. Enbody |
Comparing Gang Scheduling with Dynamic Space Sharing on Symmetric Multiprocessors Using Automatic Self-Allocating Threads (ASAT). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 288-, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
33 | Yuriy Kochura, Sergii G. Stirenko, Oleg Alienin, Michail Novotarskiy, Yuri G. Gordienko |
Performance Analysis of Open Source Machine Learning Frameworks for Various Parameters in Single-Threaded and Multi-Threaded Modes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1708.08670, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
33 | Yuriy Kochura, Sergii G. Stirenko, Anis Rojbi, Oleg Alienin, Michail Novotarskiy, Yuri G. Gordienko |
Comparative Analysis of Open Source Frameworks for Machine Learning with Use Case in Single-Threaded and Multi-Threaded Modes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1706.02248, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
33 | Yuriy Kochura, Sergii G. Stirenko, Oleg Alienin, Michail Novotarskiy, Yuri G. Gordienko |
Comparative analysis of open source frameworks for machine learning with use case in single-threaded and multi-threaded modes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSIT (1) ![In: 2017 12th International Scientific and Technical Conference on Computer Sciences and Information Technologies (CSIT), Lviv, Ukraine, September 5-8, 2017 - Volume 1., pp. 373-376, 2017, IEEE, 978-1-5386-1638-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
33 | Miquel Pericàs, Adrián Cristal, Francisco J. Cazorla, Rubén González 0001, Daniel A. Jiménez, Mateo Valero |
A Flexible Heterogeneous Multi-Core Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 13-24, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Iulian Neamtiu, Michael W. Hicks |
Safe and timely updates to multi-threaded programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2009 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2009, Dublin, Ireland, June 15-21, 2009, pp. 13-24, 2009, ACM, 978-1-60558-392-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
update safety, update timeliness, multi-threading, dynamic software updating |
32 | Masanobu Umeda, Keiichi Katamine, Isao Nagasawa, Masaaki Hashimoto, Osamu Takata |
Multi-threading Inside Prolog for Knowledge-Based Enterprise Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INAP ![In: Declarative Programming for Knowledge Management, 16th International Conference on Applications of Declarative Programming and Knowledge Management, INAP 2005, Fukuoka, Japan, October 22-24, 2005, Revised Selected Papers, pp. 200-214, 2005, Springer, 3-540-69233-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Sascha Uhrig |
Evaluation of Different Multithreaded and Multicore Processor Configurations for SoPC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 9th International Workshop, SAMOS 2009, Samos, Greece, July 20-23, 2009. Proceedings, pp. 68-77, 2009, Springer, 978-3-642-03137-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
28 | Joel S. Emer, Mark D. Hill, Yale N. Patt, Joshua J. Yi, Derek Chiou, Resit Sendag |
Single-Threaded vs. Multithreaded: Where Should We Focus? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 27(6), pp. 14-24, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multiple data stream architectures (multiprocessors), microarchitecture implementation considerations, processor architectures, computer systems organization, single data stream architectures |
27 | Stijn Eyerman, Lieven Eeckhout |
Per-thread cycle accounting in SMT processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2009, Washington, DC, USA, March 7-11, 2009, pp. 133-144, 2009, ACM, 978-1-60558-406-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cycle accounting, simultaneous multithreading (smt), thread-progress aware fetch policy |
27 | Hongtao Zhong, Mojtaba Mehrara, Steven A. Lieberman, Scott A. Mahlke |
Uncovering hidden loop level parallelism in sequential applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 16-20 February 2008, Salt Lake City, UT, USA, pp. 290-301, 2008, IEEE Computer Society, 978-1-4244-2070-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Cuihong Li, Joseph Andrew Giampapa, Katia P. Sycara |
Bilateral negotiation decisions with uncertain dynamic outside options. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part C ![In: IEEE Trans. Syst. Man Cybern. Part C 36(1), pp. 31-44, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Xinmin Tian, Shashank S. Nemawarkar, Guang R. Gao, Herbert H. J. Hum |
Data locality sensitivity of multithreaded computations on a distributed-memory multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1996 conference of the Centre for Advanced Studies on Collaborative Research, November 12-14, 1996, Toronto, Ontario, Canada, pp. 37, 1996, IBM. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
|
26 | David H. Albonesi |
Productive and Healthy Debate. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 27(6), pp. 6, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
single-threaded, security, reliability, low power, computer architecture, multithreaded, debates |
26 | Steven Swanson, Andrew Schwerin, Martha Mercaldi, Andrew Petersen 0001, Andrew Putnam, Ken Michelson, Mark Oskin, Susan J. Eggers |
The WaveScalar architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 25(2), pp. 4:1-4:54, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
WaveScalar, multithreading, dataflow computing |
26 | Sonia López, Steve Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares |
Dynamic Capacity-Speed Tradeoffs in SMT Processor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Second International Conference, HiPEAC 2007, Ghent, Belgium, January 28-30, 2007, Proceedings, pp. 136-150, 2007, Springer, 978-3-540-69337-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Ravi R. Iyer 0001 |
CQoS: a framework for enabling QoS in shared caches of CMP platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS 2004, Saint Malo, France, June 26 - July 01, 2004, pp. 257-266, 2004, ACM, 1-58113-839-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
QoS, performance, cache, partitioning, CMP, sharing |
24 | Jie Yu 0016, Satish Narayanasamy |
A case for an interleaving constrained shared-memory multi-processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 325-336, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
parallel programming, multiprocessors, software reliability, concurrency bugs |
24 | Guilherme Ottoni, David I. August |
Global Multi-Threaded Instruction Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 1-5 December 2007, Chicago, Illinois, USA, pp. 56-68, 2007, IEEE Computer Society, 0-7695-3047-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Easwaran Raman, Robert Hundt, Sandya Mannarswamy |
Structure Layout Optimization for Multithreaded Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fifth International Symposium on Code Generation and Optimization (CGO 2007), 11-14 March 2007, San Jose, California, USA, pp. 271-282, 2007, IEEE Computer Society, 978-0-7695-2764-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | David W. Mutschler |
Enhancement of memory pools toward a multi-threaded implementation of the joint integrated mission model (JIMM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference WSC 2006, Monterey, California, USA, December 3-6, 2006, pp. 856-862, 2006, IEEE Computer Society, 1-4244-0501-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Tyng-Ruey Chuang |
A Randomized Implementation of Multiple Functional Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LISP and Functional Programming ![In: Proceedings of the 1994 ACM Conference on LISP and Functional Programming, Orlando, Florida, USA, 27-29 June 1994., pp. 173-184, 1994, ACM, 0-89791-643-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
21 | Martin Labrecque, Peter Yiannacouras, J. Gregory Steffan |
Scaling Soft Processor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2008, 14-15 April 2008, Stanford, Palo Alto, California, USA, pp. 195-205, 2008, IEEE Computer Society, 978-0-7695-3307-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Keith A. Bowman, Alaa R. Alameldeen, Srikanth T. Srinivasan, Chris Wilkerson |
Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 50-55, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
FMAX distribution, parameter fluctuations, throughput distribution, multi-core, parameter variations |
19 | Changkyu Kim, Simha Sethumadhavan, M. S. Govindan, Nitya Ranganathan, Divya Gulati, Doug Burger, Stephen W. Keckler |
Composable Lightweight Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 1-5 December 2007, Chicago, Illinois, USA, pp. 381-394, 2007, IEEE Computer Society, 0-7695-3047-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Philip Garcia, Henry F. Korth |
Database hash-join algorithms on multithreaded computer architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 241-252, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
performance, database, multithreading, software pipelining, SMT, memory performance, software prefetching, hash-join |
19 | Lei Yan, Yueyang Pan, Diyu Zhou, George Candea, Sanidhya Kashyap |
Transparent Multicore Scaling of Single-Threaded Network Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: Proceedings of the Nineteenth European Conference on Computer Systems, EuroSys 2024, Athens, Greece, April 22-25, 2024, pp. 1142-1159, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
19 | Xinjing Zhou, Xiangyao Yu, Goetz Graefe, Michael Stonebraker |
Lotus: Scalable Multi-Partition Transactions on Single-Threaded Partitioned Databases. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. VLDB Endow. ![In: Proc. VLDB Endow. 15(11), pp. 2939-2952, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
19 | Atanu Barai, Gopinath Chennupati, Nandakishore Santhi, Abdel-Hameed A. Badawy, Yehia Arafa, Stephan J. Eidenbenz |
PPT-SASMM: Scalable Analytical Shared Memory Model: Predicting the Performance of Multicore Caches from a Single-Threaded Execution Trace. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2103.10635, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
19 | Atanu Barai, Gopinath Chennupati, Nandakishore Santhi, Abdel-Hameed A. Badawy, Yehia Arafa, Stephan J. Eidenbenz |
PPT-SASMM: Scalable Analytical Shared Memory Model: Predicting the Performance of Multicore Caches from a Single-Threaded Execution Trace. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMSYS ![In: MEMSYS 2020: The International Symposium on Memory Systems, Washington, DC, USA, September, 2020, pp. 341-351, 2020, ACM, 978-1-4503-8899-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
19 | Ayesha Sadiq |
Automatic Inference of Symbolic Permissions for Single-threaded Java Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2019 |
DOI RDF |
|
19 | Bart Jacobs 0002, Dragan Bosnacki, Ruurd Kuiper 0001 |
Modular Termination Verification of Single-Threaded and Multithreaded Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 40(3), pp. 12:1-12:59, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
19 | Siqi Wang, Guanwen Zhong, Tulika Mitra |
CGPredict: Embedded GPU Performance Estimation from Single-Threaded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 16(5s), pp. 146:1-146:22, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
19 | Chang Yao, Divyakant Agrawal, Gang Chen 0001, Qian Lin 0002, Beng Chin Ooi, Weng-Fai Wong, Meihui Zhang 0001 |
Exploiting Single-Threaded Model in Multi-Core In-Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 28(10), pp. 2635-2650, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Mohit Chawla, Kriti Singh, Chiranjeev Kumar |
Attitudinal data based server job scheduling using genetic algorithms: Client-centric job scheduling for single threaded servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IC3 ![In: Ninth International Conference on Contemporary Computing, IC3 2016, Noida, India, August 11-13, 2016, pp. 1-7, 2016, IEEE Computer Society, 978-1-5090-3251-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
19 | Madhav P. Desai |
Inner Loop Optimizations in Mapping Single Threaded Programs to Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1411.0863, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
19 | Doug Gallatin, Aaron W. Keen, Chris Lupo, John Y. Oliver |
Twill: A Hybrid Microcontroller-FPGA Framework for Parallelizing Single-Threaded C Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, Phoenix, AZ, USA, May 19-23, 2014, pp. 112-121, 2014, IEEE Computer Society, 978-0-7695-5208-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
19 | Rashid Khogali, Olivia Das |
Cost Minimization for Scheduling Parallel, Single-Threaded, Heterogeneous, Speed-Scalable Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 19th IEEE International Conference on Parallel and Distributed Systems, ICPADS 2013, Seoul, Korea, December 15-18, 2013, pp. 265-274, 2013, IEEE Computer Society, 978-1-4799-2081-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Rashid Khogali, Olivia Das |
Extending Battery Life of a Multi-buffered, Single-Threaded Processor in a Mobile Computing Device. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 42nd International Conference on Parallel Processing, ICPP 2013, Lyon, France, October 1-4, 2013, pp. 817-825, 2013, IEEE Computer Society, 978-0-7695-5117-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Wei Zhang 0022, Marc de Kruijf, Ang Li, Shan Lu 0001, Karthikeyan Sankaralingam |
ConAir: featherweight concurrency bug recovery via single-threaded idempotent execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Architectural Support for Programming Languages and Operating Systems, ASPLOS 2013, Houston, TX, USA, March 16-20, 2013, pp. 113-126, 2013, ACM, 978-1-4503-1870-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
19 | Fawibe Ademola, Oghenekarho Okobiah, Oleg Garitselov, Krishna M. Kavi, Izuchukwu Nwachukwu, Mohana Asha Latha Dubasi, Vinay R. Prabhu |
Parabilis: Speeding up Single-Threaded Applications by Extracting Fine-Grained Threads for Multi-core Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPDC ![In: 10th International Symposium on Parallel and Distributed Computing, ISPDC 2011, Cluj-Napoca, Romania, July 6-8, 2011, pp. 63-70, 2011, IEEE Computer Society, 978-1-4577-1536-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
19 | Jun Yan 0008, Wei Zhang 0002 |
Hybrid multi-core architecture for boosting single-threaded performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 35(1), pp. 141-148, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Peter H. M. Jacobs, Alexander Verbraeck |
Single-Threaded Specification of Process-Interaction Formalism in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 36th conference on Winter simulation, Washington, DC, USA, December 5-8, 2004, pp. 1548-1555, 2004, IEEE Computer Society, 0-7803-8786-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
19 | Richard Wiener |
Branch and Bound Implementations for the Traveling Salesperson Problem - Part 2: Single threaded solution with many inexpensive nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Object Technol. ![In: J. Object Technol. 2(3), pp. 65-76, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Andrew Sohn, Yunheung Paek, Jui-Yuan Ku, Yuetsu Kodama, Yoshinori Yamaguchi |
Communication Studies of Single-Threaded and Multithreaded Distributed-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 310-314, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
19 | David J. McConnell, Bruce A. Lewis, Lisa Gray |
Reengineering a Single Threaded Embedded Missile Application onto a Parallel Processing Platform Using MetaH. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 14(1), pp. 7-20, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Michael K. Chen, Kunle Olukotun |
Exploiting Method-Level Parallelism in Single-Threaded Java Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 176-, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
19 | Juan C. Guzmán, Paul Hudak |
Single-Threaded Polymorphic Lambda Calculus ![Search on Bibsonomy](Pics/bibsonomy.png) |
LICS ![In: Proceedings of the Fifth Annual Symposium on Logic in Computer Science (LICS '90), Philadelphia, Pennsylvania, USA, June 4-7, 1990, pp. 333-343, 1990, IEEE Computer Society, 0-8186-2073-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
18 | Siva Kumar Sastry Hari, Man-Lap Li, Pradeep Ramachandran, Byn Choi, Sarita V. Adve |
mSWAT: low-cost hardware fault detection and diagnosis for multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 122-132, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
architecture, error detection, fault injection, multicore processors |
18 | Jason Cong, Guoling Han, Ashok Jagannathan, Glenn Reinman, Krzysztof Rutkowski |
Accelerating Sequential Applications on CMPs Using Core Spilling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(8), pp. 1094-1107, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Yi Li, Kamal Gupta 0001 |
Motion Planning of Multiple Agents in Virtual Environments on Parallel Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 1009-1014, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Matthew J. Bridges, Neil Vachharajani, Yun Zhang 0005, Thomas B. Jablin, David I. August |
Revisiting the Sequential Programming Model for Multi-Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 1-5 December 2007, Chicago, Illinois, USA, pp. 69-84, 2007, IEEE Computer Society, 0-7695-3047-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | William D. Gropp, Rajeev Thakur |
Issues in Developing a Thread-Safe MPI Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 13th European PVM/MPI User's Group Meeting, Bonn, Germany, September 17-20, 2006, Proceedings, pp. 12-21, 2006, Springer, 3-540-39110-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Blair Fort, Davor Capalija, Zvonko G. Vranesic, Stephen Dean Brown |
A Multithreaded Soft Processor for SoPC Area Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 24-26 April 2006, Napa, CA, USA, Proceedings, pp. 131-142, 2006, IEEE Computer Society, 0-7695-2661-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Wenyin Fu, Katherine Compton |
An execution environment for reconfigurable computing (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, FPGA 2005, Monterey, California, USA, February 20-22, 2005, pp. 267, 2005, ACM, 1-59593-029-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Justin Teller, Charles B. Silio Jr., Bruce L. Jacob |
Performance characteristics of MAUI: an intelligent memory system architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Memory System Performance ![In: Proceedings of the 2005 workshop on Memory System Performance, Chicago, Illinois, USA, June 12, 2005, pp. 44-53, 2005, ACM, 1-59593-147-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MAUI memory architecture, SimpleScalar simulator, data-intensive calculations, intelligent memory, memory architecture, vector processing, SIMD processing |
18 | Ram Rangan, Neil Vachharajani, Manish Vachharajani, David I. August |
Decoupled Software Pipelining with the Synchronization Array. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September - 3 October 2004, Antibes Juan-les-Pins, France, pp. 177-188, 2004, IEEE Computer Society, 0-7695-2229-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Jamison D. Collins, Dean M. Tullsen |
Clustered Multithreaded Architectures - Pursuing both IPC and Cycle Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Srikanth T. Srinivasan, Haitham Akkary, Tom Holman, Konrad Lai |
A Minimal Dual-Core Speculative Multi-Threading Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 360-367, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen |
Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 144-155, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL |
18 | Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery |
Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Berlin, Germany, June 17-19, 2002, pp. 117-128, 2002, ACM, 1-58113-463-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization |
18 | Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh |
An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 39-50, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
18 | Chris Okasaki |
The Role of Lazy Evaluation in Amortized Data Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the 1996 ACM SIGPLAN International Conference on Functional Programming, ICFP 1996, Philadelphia, Pennsylvania, USA, May 24-26, 1996., pp. 62-72, 1996, ACM, 0-89791-770-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
18 | Norman Ramsey |
Correctness of Trap-Based Breakpoint Implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of POPL'94: 21st ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, Portland, Oregon, USA, January 17-21, 1994, pp. 15-24, 1994, ACM Press, 0-89791-636-0. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Mathias Ricken, Robert Cartwright |
Test-first Java concurrency for the classroom. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 41st ACM technical symposium on Computer science education, SIGCSE 2010, Milwaukee, Wisconsin, USA, March 10-13, 2010, pp. 219-223, 2010, ACM, 978-1-4503-0006-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cs education, java, software engineering, tools, concurrent programming, unit testing, junit |
17 | Nathan Grasso Bronson, Jared Casper, Hassan Chafi, Kunle Olukotun |
A practical concurrent binary search tree. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2010, Bangalore, India, January 9-14, 2010, pp. 257-268, 2010, ACM, 978-1-60558-877-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
snapshot isolation, optimistic concurrency |
17 | Arun Raman, Hanjun Kim 0001, Thomas R. Mason, Thomas B. Jablin, David I. August |
Speculative parallelization using software multi-threaded transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 65-76, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multi-threaded transactions, automatic parallelization, software transactional memory, thread-level speculation, loop-level parallelism, pipelined parallelism |
17 | Kumar Shiv, Kingsum Chow, Yanping Wang, Dmitry Petrochenko |
SPECjvm2008 Performance Characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPEC Benchmark Workshop ![In: Computer Performance Evaluation and Benchmarking, SPEC Benchmark Workshop 2009, Austin, TX, USA, January 25, 2009. Proceedings, pp. 17-35, 2009, Springer, 978-3-540-93798-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Java Performance, Workload Characterization, SPEC |
17 | Yuan Tang, Yunquan Zhang |
Utilizing the Multi-threading Techniques to Improve the Two-Level Checkpoint/Rollback System for MPI Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 10th IEEE International Conference on High Performance Computing and Communications, HPCC 2008, 25-27 Sept. 2008, Dalian, China, pp. 864-869, 2008, IEEE Computer Society, 978-0-7695-3352-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Rui Marques, Terrance Swift |
Concurrent and Local Evaluation of Normal Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICLP ![In: Logic Programming, 24th International Conference, ICLP 2008, Udine, Italy, December 9-13 2008, Proceedings, pp. 206-222, 2008, Springer, 978-3-540-89981-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Hans-Juergen Boehm, Sarita V. Adve |
Foundations of the C++ concurrency memory model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2008 Conference on Programming Language Design and Implementation, Tucson, AZ, USA, June 7-13, 2008, pp. 68-78, 2008, ACM, 978-1-59593-860-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
trylock, c++, memory model, data race, sequential consistency, memory consistency |
17 | Laurent Plagne, Frank Hülsemann |
: From Performance Assessment to Optimal Libraries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS (3) ![In: Computational Science - ICCS 2008, 8th International Conference, Kraków, Poland, June 23-25, 2008, Proceedings, Part III, pp. 203-212, 2008, Springer, 978-3-540-69388-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Peter Bertels, Dirk Stroobandt |
Java and the Power of Multi-Core Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISIS ![In: Second International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2008), March 4th-7th, 2008, Technical University of Catalonia, Barcelona, Spain, pp. 627-631, 2008, IEEE Computer Society, 978-0-7695-3109-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Alban Douillet, Guang R. Gao |
Software-Pipelining on Multi-Core Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 39-48, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Sonia López, Steven G. Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares |
Rate-Driven Control of Resizable Caches for Highly Threaded SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 416, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Alex Shye, Tipp Moseley, Vijay Janapa Reddi, Joseph Blomstedt, Daniel A. Connors |
Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007, 25-28 June 2007, Edinburgh, UK, Proceedings, pp. 297-306, 2007, IEEE Computer Society, 0-7695-2855-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Matthew Lewis 0004, Tobias Schubert 0001, Bernd Becker 0001 |
Multithreaded SAT Solving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 926-931, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Oddleif Halvorsen, Øystein Haugen |
Proposed Notation for Exception Handling in UML 2 Sequence Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASWEC ![In: 17th Australian Software Engineering Conference (ASWEC 2006), 18-21 April 2006, Sydney, Australia, pp. 29-40, 2006, IEEE Computer Society, 0-7695-2551-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Chen-Yong Cher, Il Park 0001, T. N. Vijaykumar |
Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2006, 19th International Conference, Frankfurt/Main, Germany, March 13-16, 2006, Proceedings, pp. 232-251, 2006, Springer, 3-540-32765-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Lawrence Spracklen, Santosh G. Abraham |
Chip Multithreading: Opportunities and Challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 248-252, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Wenyin Fu, Katherine Compton |
An Execution Environment for Reconfigurable Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 17-20 April 2005, Napa, CA, USA, Proceedings, pp. 149-158, 2005, IEEE Computer Society, 0-7695-2445-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Bharath Iyer, Sadagopan Srinivasan, Bruce L. Jacob |
Extended Split-Issue: Enabling Flexibility in the Hardware Implementation of NUAL VLIW DSPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 31st International Symposium on Computer Architecture (ISCA 2004), 19-23 June 2004, Munich, Germany, pp. 364-375, 2004, IEEE Computer Society, 0-7695-2143-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Jean-Pierre Talpin, Abdoulaye Gamatié, David Berner, Bruno Le Dez, Paul Le Guernic |
Hard Real-Time Implementation of Embedded Software in JAVA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FIDJI ![In: Scientific Engineering of Distributed Java Applications, Third International Workshop, FIDJI 2003, Luxembourg-Kirchberg, Luxembourg, November 27-28, 2003, Revised Papers, pp. 33-47, 2003, Springer, 3-540-21091-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Alésio Pfeifer, Cristina D. Ururahy, Noemi de La Rocque Rodriguez, Roberto Ierusalimschy |
An Event-Driven System for Distributed Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 22nd International Conference on Distributed Computing Systems, Workshops (ICDCSW '02) July 2-5, 2002, Vienna, Austria, Proceedings, pp. 583-584, 2002, IEEE Computer Society, 0-7695-1588-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Frederick Wieland, David Carnes, Gregory Schultz |
Using quad trees for parallelizing conflict detection in a sequential simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Parallel and Distributed Simulation ![In: Proceedings of the 15th Workshop on Parallel and Distributed Simulation, PADS 2001, Lake Arrowhead, California, USA, May 15-18, 2001, pp. 117-123, 2001, IEEE Computer Society, 0-7695-1104-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
17 | Erik Ruf |
Effective synchronization removal for Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2000 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Vancouver, Britith Columbia, Canada, June 18-21, 2000, pp. 208-218, 2000, ACM, 1-58113-199-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Heiko Oehring, Ulrich Sigmund, Theo Ungerer |
MPEG-2 Video Decompression on Simultaneous Multithreaded Multimedia Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 11-16, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
MPEG-2 video decompression, Simultaneous multithreading, multimedia extension |
17 | Tyng-Ruey Chuang |
Fully Persistent Arrays for Efficient Incremental Updates and Voluminous Reads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: ESOP '92, 4th European Symposium on Programming, Rennes, France, February 26-28, 1992, Proceedings, pp. 110-129, 1992, Springer, 3-540-55253-7. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
15 | Torben Æ. Mogensen |
Types for 0, 1 or Many Uses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Implementation of Functional Languages ![In: Implementation of Functional Languages, 9th International Workshop, IFL'97, St. Andrews, Scotland, UK, September 10-12, 1997, Selected Papers, pp. 112-122, 1997, Springer, 3-540-64849-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
13 | Dean M. Tullsen, Susan J. Eggers, Henry M. Levy |
Simultaneous Multithreading: Maximizing On-Chip Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 392-403, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
12 | Dong Hyuk Woo, Hsien-Hsin S. Lee |
COMPASS: a programmable data prefetcher using idle GPU shaders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 297-310, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
compute shader, GPU, prefetch |
12 | Ron Gabor, Shlomo Weiss, Avi Mendelson |
Fairness enforcement in switch on event multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 4(3), pp. 15, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
SOE, Switch on Event multithreading, coarse-grained multithreading, weighted speedup, performance, fairness, throughput, multithreading |
Displaying result #1 - #100 of 213 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ >>] |
|