The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase single-threaded (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1997 (15) 1998-2000 (15) 2001-2003 (21) 2004 (17) 2005 (21) 2006 (24) 2007 (31) 2008 (21) 2009 (20) 2010-2014 (16) 2016-2024 (12)
Publication types (Num. hits)
article(33) inproceedings(179) phdthesis(1)
Venues (Conferences, Journals, ...)
HPCA(8) MICRO(8) ASPLOS(7) ISCA(7) PLDI(6) PPoPP(6) CGO(4) CoRR(4) ICS(4) IEEE Trans. Parallel Distribut...(4) ISMM(4) LCPC(4) PACT(4) ACM Trans. Archit. Code Optim.(3) CASES(3) FCCM(3) More (+10 of total 119)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 250 occurrences of 195 keywords

Results
Found 213 publication records. Showing 213 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
65Cuihong Li, Katia P. Sycara, Joseph Andrew Giampapa Dynamic Outside Options in Alternating-Offers Negotiations. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
64Wojciech Moczydlowski, Alfons Geser Termination of Single-Threaded One-Rule Semi-Thue Systems. Search on Bibsonomy RTA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF uniform termination, one-rule, single-rule, single-threaded, well-behaved, termination, string rewriting, semi-Thue system
46Cheng Wang 0013, Youfeng Wu, Edson Borin, Shiliang Hu, Wei Liu 0014, Dave Sager, Tin-Fook Ngai, Jesse Fang Dynamic parallelization of single-threaded binary programs using speculative slicing. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF backward slicing, binary optimization, single-thread performance, parallelization, speculations
37Neil Vachharajani, Matthew Iyer, Chinmay Ashok, Manish Vachharajani, David I. August, Daniel A. Connors Chip multi-processor scalability for single-threaded applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Robert S. Boyer, J Strother Moore Single-Threaded Objects in ACL2. Search on Bibsonomy PADL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Mainak Chaudhuri Pseudo-LIFO: the foundation of a new family of replacement policies for last-level caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, replacement policy, last-level cache
35Michael Zhang, Krste Asanovic Victim Replication: Maximizing Capacity while Hiding Wire Delay in Tiled Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Jaideep Moses, Ramesh Illikkal, Ravi R. Iyer 0001, Ram Huggahalli, Donald Newell ASPEN: Towards Effective Simulation of Threads and Engines in Evolving Platforms. Search on Bibsonomy MASCOTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Charles R. Severance, Richard J. Enbody Comparing Gang Scheduling with Dynamic Space Sharing on Symmetric Multiprocessors Using Automatic Self-Allocating Threads (ASAT). Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
33Yuriy Kochura, Sergii G. Stirenko, Oleg Alienin, Michail Novotarskiy, Yuri G. Gordienko Performance Analysis of Open Source Machine Learning Frameworks for Various Parameters in Single-Threaded and Multi-Threaded Modes. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
33Yuriy Kochura, Sergii G. Stirenko, Anis Rojbi, Oleg Alienin, Michail Novotarskiy, Yuri G. Gordienko Comparative Analysis of Open Source Frameworks for Machine Learning with Use Case in Single-Threaded and Multi-Threaded Modes. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
33Yuriy Kochura, Sergii G. Stirenko, Oleg Alienin, Michail Novotarskiy, Yuri G. Gordienko Comparative analysis of open source frameworks for machine learning with use case in single-threaded and multi-threaded modes. Search on Bibsonomy CSIT (1) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Miquel Pericàs, Adrián Cristal, Francisco J. Cazorla, Rubén González 0001, Daniel A. Jiménez, Mateo Valero A Flexible Heterogeneous Multi-Core Architecture. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Iulian Neamtiu, Michael W. Hicks Safe and timely updates to multi-threaded programs. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF update safety, update timeliness, multi-threading, dynamic software updating
32Masanobu Umeda, Keiichi Katamine, Isao Nagasawa, Masaaki Hashimoto, Osamu Takata Multi-threading Inside Prolog for Knowledge-Based Enterprise Applications. Search on Bibsonomy INAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Sascha Uhrig Evaluation of Different Multithreaded and Multicore Processor Configurations for SoPC. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Joel S. Emer, Mark D. Hill, Yale N. Patt, Joshua J. Yi, Derek Chiou, Resit Sendag Single-Threaded vs. Multithreaded: Where Should We Focus? Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple data stream architectures (multiprocessors), microarchitecture implementation considerations, processor architectures, computer systems organization, single data stream architectures
27Stijn Eyerman, Lieven Eeckhout Per-thread cycle accounting in SMT processors. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cycle accounting, simultaneous multithreading (smt), thread-progress aware fetch policy
27Hongtao Zhong, Mojtaba Mehrara, Steven A. Lieberman, Scott A. Mahlke Uncovering hidden loop level parallelism in sequential applications. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Cuihong Li, Joseph Andrew Giampapa, Katia P. Sycara Bilateral negotiation decisions with uncertain dynamic outside options. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part C The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Xinmin Tian, Shashank S. Nemawarkar, Guang R. Gao, Herbert H. J. Hum Data locality sensitivity of multithreaded computations on a distributed-memory multiprocessor. Search on Bibsonomy CASCON The full citation details ... 1996 DBLP  BibTeX  RDF
26David H. Albonesi Productive and Healthy Debate. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF single-threaded, security, reliability, low power, computer architecture, multithreaded, debates
26Steven Swanson, Andrew Schwerin, Martha Mercaldi, Andrew Petersen 0001, Andrew Putnam, Ken Michelson, Mark Oskin, Susan J. Eggers The WaveScalar architecture. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WaveScalar, multithreading, dataflow computing
26Sonia López, Steve Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares Dynamic Capacity-Speed Tradeoffs in SMT Processor Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Ravi R. Iyer 0001 CQoS: a framework for enabling QoS in shared caches of CMP platforms. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF QoS, performance, cache, partitioning, CMP, sharing
24Jie Yu 0016, Satish Narayanasamy A case for an interleaving constrained shared-memory multi-processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, multiprocessors, software reliability, concurrency bugs
24Guilherme Ottoni, David I. August Global Multi-Threaded Instruction Scheduling. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Easwaran Raman, Robert Hundt, Sandya Mannarswamy Structure Layout Optimization for Multithreaded Programs. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24David W. Mutschler Enhancement of memory pools toward a multi-threaded implementation of the joint integrated mission model (JIMM). Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Tyng-Ruey Chuang A Randomized Implementation of Multiple Functional Arrays. Search on Bibsonomy LISP and Functional Programming The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Martin Labrecque, Peter Yiannacouras, J. Gregory Steffan Scaling Soft Processor Systems. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Keith A. Bowman, Alaa R. Alameldeen, Srikanth T. Srinivasan, Chris Wilkerson Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FMAX distribution, parameter fluctuations, throughput distribution, multi-core, parameter variations
19Changkyu Kim, Simha Sethumadhavan, M. S. Govindan, Nitya Ranganathan, Divya Gulati, Doug Burger, Stephen W. Keckler Composable Lightweight Processors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Philip Garcia, Henry F. Korth Database hash-join algorithms on multithreaded computer architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, database, multithreading, software pipelining, SMT, memory performance, software prefetching, hash-join
19Lei Yan, Yueyang Pan, Diyu Zhou, George Candea, Sanidhya Kashyap Transparent Multicore Scaling of Single-Threaded Network Functions. Search on Bibsonomy EuroSys The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Xinjing Zhou, Xiangyao Yu, Goetz Graefe, Michael Stonebraker Lotus: Scalable Multi-Partition Transactions on Single-Threaded Partitioned Databases. (PDF / PS) Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Atanu Barai, Gopinath Chennupati, Nandakishore Santhi, Abdel-Hameed A. Badawy, Yehia Arafa, Stephan J. Eidenbenz PPT-SASMM: Scalable Analytical Shared Memory Model: Predicting the Performance of Multicore Caches from a Single-Threaded Execution Trace. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Atanu Barai, Gopinath Chennupati, Nandakishore Santhi, Abdel-Hameed A. Badawy, Yehia Arafa, Stephan J. Eidenbenz PPT-SASMM: Scalable Analytical Shared Memory Model: Predicting the Performance of Multicore Caches from a Single-Threaded Execution Trace. Search on Bibsonomy MEMSYS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Ayesha Sadiq Automatic Inference of Symbolic Permissions for Single-threaded Java Programs. Search on Bibsonomy 2019   DOI  RDF
19Bart Jacobs 0002, Dragan Bosnacki, Ruurd Kuiper 0001 Modular Termination Verification of Single-Threaded and Multithreaded Programs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Siqi Wang, Guanwen Zhong, Tulika Mitra CGPredict: Embedded GPU Performance Estimation from Single-Threaded Applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Chang Yao, Divyakant Agrawal, Gang Chen 0001, Qian Lin 0002, Beng Chin Ooi, Weng-Fai Wong, Meihui Zhang 0001 Exploiting Single-Threaded Model in Multi-Core In-Memory Systems. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Mohit Chawla, Kriti Singh, Chiranjeev Kumar Attitudinal data based server job scheduling using genetic algorithms: Client-centric job scheduling for single threaded servers. Search on Bibsonomy IC3 The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Madhav P. Desai Inner Loop Optimizations in Mapping Single Threaded Programs to Hardware. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
19Doug Gallatin, Aaron W. Keen, Chris Lupo, John Y. Oliver Twill: A Hybrid Microcontroller-FPGA Framework for Parallelizing Single-Threaded C Programs. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Rashid Khogali, Olivia Das Cost Minimization for Scheduling Parallel, Single-Threaded, Heterogeneous, Speed-Scalable Processors. Search on Bibsonomy ICPADS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Rashid Khogali, Olivia Das Extending Battery Life of a Multi-buffered, Single-Threaded Processor in a Mobile Computing Device. Search on Bibsonomy ICPP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Wei Zhang 0022, Marc de Kruijf, Ang Li, Shan Lu 0001, Karthikeyan Sankaralingam ConAir: featherweight concurrency bug recovery via single-threaded idempotent execution. Search on Bibsonomy ASPLOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Fawibe Ademola, Oghenekarho Okobiah, Oleg Garitselov, Krishna M. Kavi, Izuchukwu Nwachukwu, Mohana Asha Latha Dubasi, Vinay R. Prabhu Parabilis: Speeding up Single-Threaded Applications by Extracting Fine-Grained Threads for Multi-core Execution. Search on Bibsonomy ISPDC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Jun Yan 0008, Wei Zhang 0002 Hybrid multi-core architecture for boosting single-threaded performance. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Peter H. M. Jacobs, Alexander Verbraeck Single-Threaded Specification of Process-Interaction Formalism in Java. Search on Bibsonomy WSC The full citation details ... 2004 DBLP  BibTeX  RDF
19Richard Wiener Branch and Bound Implementations for the Traveling Salesperson Problem - Part 2: Single threaded solution with many inexpensive nodes. Search on Bibsonomy J. Object Technol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Andrew Sohn, Yunheung Paek, Jui-Yuan Ku, Yuetsu Kodama, Yoshinori Yamaguchi Communication Studies of Single-Threaded and Multithreaded Distributed-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19David J. McConnell, Bruce A. Lewis, Lisa Gray Reengineering a Single Threaded Embedded Missile Application onto a Parallel Processing Platform Using MetaH. Search on Bibsonomy Real Time Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Michael K. Chen, Kunle Olukotun Exploiting Method-Level Parallelism in Single-Threaded Java Programs. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Juan C. Guzmán, Paul Hudak Single-Threaded Polymorphic Lambda Calculus Search on Bibsonomy LICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Siva Kumar Sastry Hari, Man-Lap Li, Pradeep Ramachandran, Byn Choi, Sarita V. Adve mSWAT: low-cost hardware fault detection and diagnosis for multicore systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture, error detection, fault injection, multicore processors
18Jason Cong, Guoling Han, Ashok Jagannathan, Glenn Reinman, Krzysztof Rutkowski Accelerating Sequential Applications on CMPs Using Core Spilling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yi Li, Kamal Gupta 0001 Motion Planning of Multiple Agents in Virtual Environments on Parallel Architectures. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Matthew J. Bridges, Neil Vachharajani, Yun Zhang 0005, Thomas B. Jablin, David I. August Revisiting the Sequential Programming Model for Multi-Core. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18William D. Gropp, Rajeev Thakur Issues in Developing a Thread-Safe MPI Implementation. Search on Bibsonomy PVM/MPI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Blair Fort, Davor Capalija, Zvonko G. Vranesic, Stephen Dean Brown A Multithreaded Soft Processor for SoPC Area Reduction. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Wenyin Fu, Katherine Compton An execution environment for reconfigurable computing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Justin Teller, Charles B. Silio Jr., Bruce L. Jacob Performance characteristics of MAUI: an intelligent memory system architecture. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MAUI memory architecture, SimpleScalar simulator, data-intensive calculations, intelligent memory, memory architecture, vector processing, SIMD processing
18Ram Rangan, Neil Vachharajani, Manish Vachharajani, David I. August Decoupled Software Pipelining with the Synchronization Array. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jamison D. Collins, Dean M. Tullsen Clustered Multithreaded Architectures - Pursuing both IPC and Cycle Time. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Srikanth T. Srinivasan, Haitham Akkary, Tom Holman, Konrad Lai A Minimal Dual-Core Speculative Multi-Threading Architecture. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL
18Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization
18Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Chris Okasaki The Role of Lazy Evaluation in Amortized Data Structures. Search on Bibsonomy ICFP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Norman Ramsey Correctness of Trap-Based Breakpoint Implementations. Search on Bibsonomy POPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Mathias Ricken, Robert Cartwright Test-first Java concurrency for the classroom. Search on Bibsonomy SIGCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cs education, java, software engineering, tools, concurrent programming, unit testing, junit
17Nathan Grasso Bronson, Jared Casper, Hassan Chafi, Kunle Olukotun A practical concurrent binary search tree. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF snapshot isolation, optimistic concurrency
17Arun Raman, Hanjun Kim 0001, Thomas R. Mason, Thomas B. Jablin, David I. August Speculative parallelization using software multi-threaded transactions. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-threaded transactions, automatic parallelization, software transactional memory, thread-level speculation, loop-level parallelism, pipelined parallelism
17Kumar Shiv, Kingsum Chow, Yanping Wang, Dmitry Petrochenko SPECjvm2008 Performance Characterization. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Java Performance, Workload Characterization, SPEC
17Yuan Tang, Yunquan Zhang Utilizing the Multi-threading Techniques to Improve the Two-Level Checkpoint/Rollback System for MPI Applications. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Rui Marques, Terrance Swift Concurrent and Local Evaluation of Normal Programs. Search on Bibsonomy ICLP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hans-Juergen Boehm, Sarita V. Adve Foundations of the C++ concurrency memory model. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF trylock, c++, memory model, data race, sequential consistency, memory consistency
17Laurent Plagne, Frank Hülsemann : From Performance Assessment to Optimal Libraries. Search on Bibsonomy ICCS (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Peter Bertels, Dirk Stroobandt Java and the Power of Multi-Core Processing. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Alban Douillet, Guang R. Gao Software-Pipelining on Multi-Core Architectures. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sonia López, Steven G. Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares Rate-Driven Control of Resizable Caches for Highly Threaded SMT Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Alex Shye, Tipp Moseley, Vijay Janapa Reddi, Joseph Blomstedt, Daniel A. Connors Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Matthew Lewis 0004, Tobias Schubert 0001, Bernd Becker 0001 Multithreaded SAT Solving. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Oddleif Halvorsen, Øystein Haugen Proposed Notation for Exception Handling in UML 2 Sequence Diagrams. Search on Bibsonomy ASWEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chen-Yong Cher, Il Park 0001, T. N. Vijaykumar Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lawrence Spracklen, Santosh G. Abraham Chip Multithreading: Opportunities and Challenges. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Wenyin Fu, Katherine Compton An Execution Environment for Reconfigurable Computing. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Bharath Iyer, Sadagopan Srinivasan, Bruce L. Jacob Extended Split-Issue: Enabling Flexibility in the Hardware Implementation of NUAL VLIW DSPs. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jean-Pierre Talpin, Abdoulaye Gamatié, David Berner, Bruno Le Dez, Paul Le Guernic Hard Real-Time Implementation of Embedded Software in JAVA. Search on Bibsonomy FIDJI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Alésio Pfeifer, Cristina D. Ururahy, Noemi de La Rocque Rodriguez, Roberto Ierusalimschy An Event-Driven System for Distributed Multimedia Applications. Search on Bibsonomy ICDCS Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Frederick Wieland, David Carnes, Gregory Schultz Using quad trees for parallelizing conflict detection in a sequential simulation. Search on Bibsonomy Workshop on Parallel and Distributed Simulation The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Erik Ruf Effective synchronization removal for Java. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Heiko Oehring, Ulrich Sigmund, Theo Ungerer MPEG-2 Video Decompression on Simultaneous Multithreaded Multimedia Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF MPEG-2 video decompression, Simultaneous multithreading, multimedia extension
17Tyng-Ruey Chuang Fully Persistent Arrays for Efficient Incremental Updates and Voluminous Reads. Search on Bibsonomy ESOP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Torben Æ. Mogensen Types for 0, 1 or Many Uses. Search on Bibsonomy Implementation of Functional Languages The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Dean M. Tullsen, Susan J. Eggers, Henry M. Levy Simultaneous Multithreading: Maximizing On-Chip Parallelism. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Dong Hyuk Woo, Hsien-Hsin S. Lee COMPASS: a programmable data prefetcher using idle GPU shaders. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compute shader, GPU, prefetch
12Ron Gabor, Shlomo Weiss, Avi Mendelson Fairness enforcement in switch on event multithreading. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SOE, Switch on Event multithreading, coarse-grained multithreading, weighted speedup, performance, fairness, throughput, multithreading
Displaying result #1 - #100 of 213 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license