The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculation with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1994 (18) 1995-1996 (15) 1997 (15) 1998 (24) 1999 (38) 2000 (41) 2001 (37) 2002 (34) 2003 (53) 2004 (56) 2005 (56) 2006 (44) 2007 (46) 2008 (54) 2009 (49) 2010 (49) 2011 (39) 2012 (26) 2013 (26) 2014 (30) 2015 (30) 2016 (35) 2017 (19) 2018 (17) 2019 (42) 2020 (32) 2021 (43) 2022 (28) 2023 (35) 2024 (4)
Publication types (Num. hits)
article(268) incollection(7) inproceedings(738) phdthesis(21) proceedings(1)
Venues (Conferences, Journals, ...)
ISCA(34) CoRR(33) MICRO(28) HPCA(25) ASPLOS(23) IPDPS(21) IEEE PACT(18) ICS(17) ICCD(15) IEEE Trans. Computers(15) LCPC(15) NeSp-NLP@ACL(15) PPoPP(15) PLDI(14) CGO(13) DAC(13) More (+10 of total 434)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 811 occurrences of 404 keywords

Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
123Yiannakis Sazeides Modeling Value Speculation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF microarchitecture modeling, speculation, value prediction, value speculation
113Craig B. Zilles, Naveen Neelakantam Reactive Techniques for Controlling Software Speculation. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
112Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
112Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan A compiler framework for speculative analysis and optimizations. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF speculative SSA form, speculative weak update, partial redundancy elimination, data speculation, register promotion
93Roy Dz-Ching Ju, Kevin Nomura, Uma Mahadevan, Le-Chun Wu A Unified Compiler Framework for Control and Data Speculation. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
92Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan A compiler framework for speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF speculative SSA form, speculative weak update, partial redundancy elimination, Data speculation, register promotion
84Youfeng Wu, Li-Ling Chen, Roy Ju, Jesse Fang Performance potentials of compiler-directed data speculation. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
79Chao-ying Fu, Jill T. Bodine, Thomas M. Conte Modeling Value Speculation: An Optimal Edge Selection Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimal edge selection, critical path reduction, Value prediction, data dependence graph, value speculation
79Mikio Takeuchi, Hideaki Komatsu, Toshio Nakatani A new speculation technique to optimize floating-point performance while preserving bit-by-bit reproducibility. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bit-by-bit reproducibility, floating-point speculation, fused multiply-add, reassociation, striding, Java, prefetching, accuracy, instruction-level parallelism, software pipelining, just-in-time compiler, loop unrolling, privatization, IA-64, IEEE 754
75Roger A. Bringmann, Scott A. Mahlke, Wen-mei W. Hwu A study of the effects of compiler-controlled speculation on instruction and data caches. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compiler-controlled speculation, nonnumeric programs, speculatively scheduled code, aggressive speculation models, scheduling, performance evaluation, parallel programming, time, instruction level parallelism, program compilers, data caches, cache storage, instruction cache, cache misses, performance results
74Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
74Yunlian Jiang, Xipeng Shen Adaptive speculation in behavior-oriented parallelization. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
74Yunlian Jiang, Xipeng Shen Adaptive Software Speculation for Enhancing the Cost-Efficiency of Behavior-Oriented Parallelization. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
74Dirk Grunwald, Artur Klauser, Srilatha Manne, Andrew R. Pleszkun Confidence Estimation for Speculation Control. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
74Andreas Moshovos, Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi Dynamic Speculation and Synchronization of Data Dependences. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
73Prakash Prabhu, Ganesan Ramalingam, Kapil Vaswani Safe programmable speculative parallelism. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF purity, rollback freedom, safety, speculative parallelism, value speculation
70Marc Galceran Oms, Jordi Cortadella, Michael Kishinevsky Speculation in elastic systems. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF elastic designs, protocols, synthesis, speculation
70Patrick Akl, Andreas Moshovos BranchTap: improving performance with very few checkpoints through adaptive speculation control. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF speculation control, state checkpointing, state recovery, branch misprediction
68Weidong Shi, Hsien-Hsin S. Lee Accelerating memory decryption and authentication with frequent value prediction. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF message authentication, value prediction, secure processors
68Arun Kejariwal, Xinmin Tian, Wei Li 0015, Milind Girkar, Sergey Kozhukhov, Hideki Saito 0001, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos On the performance potential of different types of speculative thread-level parallelism: The DL version of this paper includes corrections that were not made available in the printed proceedings. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DOALL loops, value dependence, performance evaluation, data dependence, speculative execution, control dependence
68Kevin B. Theobald, Guang R. Gao, Laurie J. Hendren Speculative Execution and Branch Prediction on Parallel Machines. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
64Arun Kejariwal, Xinmin Tian, Milind Girkar, Wei Li 0015, Sergey Kozhukhov, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos Tight analysis of the performance potential of thread speculation using spec CPU 2006. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF conflict probability, misspeculation penalty, threading overhead, performance evaluation, speculative execution
64Rajiv Gupta 0001, David A. Berson, Jesse Zhixi Fang Path Profile Guided Partial Redundancy Elimination Using Speculation. Search on Bibsonomy ICCL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
64Lance Hammond, Mark Willey, Kunle Olukotun Data Speculation Support for a Chip Multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
64Quinn Jacobson, Steve Bennett, Nikhil Sharma, James E. Smith 0001 Control Flow Speculation in Multiscalar Processors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
64Brian L. Deitrich, Wen-mei W. Hwu Speculative Hedge: Regulating Compile-time Speculation Against Profile Variations. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
63Vijay Nagarajan, Rajiv Gupta 0001 ECMon: exposing cache events for monitoring. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache events, recording for replay, speculation past barriers
60Thirumalaisamy Ragunathan, P. Krishna Reddy Performance evaluation of speculation-based protocol for read-only transactions. Search on Bibsonomy Bangalore Compute Conf. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance evaluation, concurrency control, speculation, transaction management
60Soner Önder Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load speculation, memory dependence prediction, store sets, wide issue superscalar, speculative execution
60Toshinori Sato Data Dependence Speculation Using Data Address Prediction and its Enhancement with Instruction Reissue. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dynamic speculation of data dependence, instruction reissue, instruction level parallelism, out-of-order execution, address prediction
58John Whaley, Christos Kozyrakis Heuristics for Profile-Driven Method-Level Speculative Parallelization. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Daniel Luchaup, Randy Smith, Cristian Estan, Somesh Jha Multi-byte Regular Expression Matching with Speculation. Search on Bibsonomy RAID The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel pattern matching, speculative pattern matching, multi-byte, multi-byte matching, regular expressions, low latency
55Daniel R. Kelly, Braden J. Phillips Arithmetic Data Value Speculation. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 Using Speculation to Simplify Multiprocessor Design. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Li Li, Stephen F. Smith Speculation Agents for Dynamic Multi-Period Continuous Double Auctions in B2B Exchanges. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Uma Mahadevan, Kevin Nomura, Roy Dz-Ching Ju, Rick Hank Applying Data Speculation in Modulo Scheduled Loops. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
54Nana B. Sam, Martin Burtscher On the energy-efficiency of speculative hardware. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy-performance metric, energy-efficiency, speculation
54Rajagopalan Desikan, Simha Sethumadhavan, Doug Burger, Stephen W. Keckler Scalable selective re-execution for EDGE architectures. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF EDGE architectures, load-store dependence prediction, mis-speculation recovery, selective re-execution, selective replay, speculative dataflow machines
50Fredrik Warg, Per Stenström Dual-thread Speculation: A Simple Approach to Uncover Thread-level Parallelism on a Simultaneous Multithreaded Processor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computer architecture, Chip multiprocessors, Thread-level speculation, Thread-level parallelism, Simultaneous multithreading
50Lei Gao, Zhenghu Gong, Yaping Liu, Ming-che Lai, Wei Peng 0005 A TLP approach for BGP based on local speculation. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF local speculation, parallelism, multi-core, BGP
50Braxton Thomason, Craig Chase Partially ordered epochs for thread-level speculation. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF partially-ordered threads, automatic parallelization, thread-level speculation
50Ken Horie, Takashi Matsuhisa No Speculation under Expectations in Awareness. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Pure exchange economy, No speculation, Expectations equilibrium, Ex-ante Pareto optimum, Awareness, Belief
50J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry Improving Value Communication for Thread-Level Speculation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallelization, multithreaded, Speculation, value prediction
48Colin Blundell, Milo M. K. Martin, Thomas F. Wenisch InvisiFence: performance-transparent memory ordering in conventional multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, memory consistency
48Butler W. Lampson Lazy and speculative execution in computer systems. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lazy evaluation
45Pedro Marcuello, Antonio González 0001 Clustered speculative multithreaded processors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF control-flow speculation, data value speculation, simultaneous multithreaded processors, dynamically scheduled processors, data dependance speculation, clustered processors
45Pedro Marcuello, Antonio González 0001, Jordi Tubella Speculative Multithreaded Processors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multithreaded processors, data speculation, dynamically scheduled processors, data dependence speculation, control speculation
45Satish Pillai, Margarida F. Jacome Predicated switching - optimizing speculation on EPIC machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Takashi Yokota, Moriyuki Saito, Fumihito Furukawa, Kanemitsu Ootsu, Takanobu Baba Two-Path Limited Speculation Method for Static/Dynamic Optimization in Multithreaded Systems. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Enric Morancho, José María Llabería, Àngel Olivé A Mechanism for Verifying Data Speculation. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Hideyuki Miura, Luong Dinh Hung, Chitaka Iwama, Daisuke Tashiro, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Compiler-Assisted Thread Level Control Speculation. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Andreas Moshovos, Gurindar S. Sohi Memory Dependence Speculation Tradeoffs in Centralized, Continuous-Window Superscalar Processors. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Scheduling, Cache, Memory, Instruction Level Parallelism
45Rafael A. Moreno, Luis Piñuel, Silvia Del Pino, Francisco Tirado Power-Efficient Value Speculation for High-Performance Microprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Haibo Chen 0001, Liwei Yuan, Xi Wu 0001, Binyu Zang, Bo Huang 0002, Pen-Chung Yew Control flow obfuscation with information flow tracking. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF control flow obfuscation, opaque predicate, information flow tracking, control speculation
44Lukasz Ziarek, Suresh Jagannathan, Matthew Fluet, Umut A. Acar Speculative N-Way barriers. Search on Bibsonomy DAMP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fork/join execution, n-way barriers, speculation, multi-threaded programs
44Krishna M. Kavi, Wentong Li, Ali R. Hurson A Non-blocking Multithreaded Architecture with Support for Speculative Threads. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture
44Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai Recovery code generation for general speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Recovery code, multi-level data speculation, speculative SSA form
44Fredrik Warg, Per Stenström Reducing misspeculation overhead for module-level speculative execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation
44Fredrik Warg, Per Stenström Improving Speculative Thread-Level Parallelism Through Module Run-Length Prediction. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF module-level parallelism, module run-length prediction, performance evaluation, Multiprocessors, thread-level speculation
41John Sartori, Rakesh Kumar 0002 Overscaling-friendly timing speculation architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stochastic processors, timing speculation, adaptability
41Arun Kejariwal, Milind Girkar, Xinmin Tian, Hideki Saito 0001, Alexandru Nicolau, Alexander V. Veidenbaum, Utpal Banerjee, Constantine D. Polychronopoulos On the efficacy of call graph-level thread-level speculation. Search on Bibsonomy WOSP/SIPEW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, thread-level speculation
41Polychronis Xekalakis, Nikolas Ioannou, Marcelo Cintra Combining thread level speculation helper threads and runahead execution. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-cores, thread-level speculation, helper threads, runahead execution
41Cosmin E. Oancea, Alan Mycroft, Tim Harris 0001 A lightweight in-place implementation for software thread-level speculation. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF roll-back, thread-level speculation (tls)
41Thirumalaisamy Ragunathan, P. Krishna Reddy Improving the Performance of Read-Only Transactions Through Speculation. Search on Bibsonomy DNIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Transaction processing, Serializability, Speculation, Read-Only Transactions
41Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles Hardware atomicity for reliable software speculation. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, optimization, checkpoint, atomicity, speculation, isolation
41Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas Energy-Efficient Thread-Level Speculation. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF out-of-order task spawning, chip multiprocessors, Thread-level speculation
41J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry The STAMPede approach to thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing
41Michael Kistler, Lorenzo Alvisi Improving the Performance of Software Distributed Shared Memory with Speculation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF protocol design and analysis, Distributed shared memory, speculation
41María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Caching and buffering support, memory hierarchies, shared-memory multiprocessors, thread-level speculation, coherence protocol
41Manohar K. Prabhu, Kunle Olukotun Using thread-level speculation to simplify manual parallelization. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF feedback-driven optimization, manual parallel programming, chip multiprocessor, multithreading, data speculation
41P. Krishna Reddy, Masaru Kitsuregawa Speculation Based Nested Locking Protocol to Increase the Concurrency of Nested Transactions. Search on Bibsonomy IDEAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF speculation based nested locking protocol, inter-transaction concurrency, nested locking protocol, SNL protocol, sub-transaction, after-image, multiple executions, main memory resources, concurrency control, speculative executions, nested transactions, data object, concurrency control protocol
41Chao-ying Fu, Matthew D. Jennings, Sergei Y. Larin, Thomas M. Conte Value Speculation Scheduling for High Performance Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLIW instruction schedulings, instruction level parallelism, value prediction, value speculation
41Yiannakis Sazeides, Stamatis Vassiliadis, James E. Smith 0001 The Performance Potential of Data Dependence Speculation & Collapsing. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF address generation-load dependences, address prediction rate, base instruction level parallel machine, dependence collapsing, performance potential, true data dependences, parallel programming, trace-driven simulation, data dependence speculation, address prediction
40Gary S. Tyson, Todd M. Austin Improving the Accuracy and Performance of Memory Communication Through Renaming. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data fetching, data value speculation, heap segment, instruction loading, memory access latency, memory communication, memory references, memory renaming, memory segments, processor pipeline, register access techniques, stores, performance, delays, accuracy, instruction-level parallelism, execution time, storage allocation, data dependence speculation, address calculation
39Cristian Tapus, Jason Hickey Distributed speculative execution for reliability and fault tolerance: an operational semantics. Search on Bibsonomy Distributed Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fault tolerance, Distributed systems, Transactions, Operational semantics, Speculations
39Toshinori Sato, Yuu Tanaka, Hidenori Sato, Toshimasa Funaki, Takenori Koushiro, Akihiro Chiyonobu Improving Energy Efficiency Via Speculative Multithreading on MultiCore Processors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Xiao-Feng Li, Zhao-Hui Du, Chen Yang, Chu-Cheow Lim, Tin-Fook Ngai Speculative Parallel Threading Architecture and Compilation. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39David N. Armstrong, Hyesoon Kim, Onur Mutlu, Yale N. Patt Wrong Path Events: Exploiting Unusual and Illegal Program Behavior for Early Misprediction Detection and Recovery. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Seng Chuan Tay, Yong Meng Teo Performance Optimization of Throttled Time-Warp Simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF performance modeling and optimization, probabilistic model, opportunity cost
39Jonathan Vos Post, Kirk L. Kroeker Writing the Future: Computers in Science Fiction. Search on Bibsonomy Computer The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Rajiv Gupta 0001, David A. Berson, Jesse Zhixi Fang Resource-Sensitive Profile-Directed Data Flow Analysis for Code Optimization. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF aggressive code motion, data flow algorithms, functional unit resources, instruction reordering, partial dead code elimination, resource-sensitive profile-directed data flow analysis, optimization, data flow analysis, instruction schedulers, code optimization, partial redundancy elimination, resource availability
35Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt Performance-aware speculation control using wrong path usefulness prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Kirk Kelsey, Chengliang Zhang, Chen Ding 0001 Fast Track: Supporting Unsafe Optimizations with Software Speculation. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Justin D. Smith, Cristian Tapus, Jason Hickey The Mojave Compiler: Providing Language Primitives for Whole-Process Migration and Speculation for Distributed Applications. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Miao Wang, Rongcai Zhao, Guoming Cai Un-speculation in Modulo Scheduled Loops. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Ming-Ying Chung, Gianfranco Ciardo A dynamic firing speculation to speedup distributed symbolic state-space generation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Fredrik Warg, Per Stenström Dual-Thread Speculation: Two Threads in the Machine are Worth Eight in the Bush. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Xianfeng Li, Tulika Mitra, Abhik Roychoudhury Modeling Control Speculation for Timing Analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micro-architectural modeling, worst case execution time, branch prediction, schedulability analysis, instruction cache
35Rahul Nagpal, Anasua Bhowmik Criticality Driven Energy Aware Speculation for Speculative Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Rahul Nagpal, Anasua Bhowmik Criticality Based Speculation Control for Speculative Multithreaded Architectures. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Shengyue Wang, Xiaoru Dai, Kiran Yellajyosula, Antonia Zhai, Pen-Chung Yew Loop Selection for Thread-Level Speculation. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Tali Moreshet, R. Iris Bahar Effects of speculation on performance and issue queue design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Keqiang Wu, David J. Lilja Self-tuning Speculation for Maintaining the Consistency of Client-Cached Data. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Satish Pillai, Margarida F. Jacome Compiler-Directed ILP Extraction for Clustered VLIW/EPIC Machines: Predication, Speculation and Modulo Scheduling. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Ying Chen, Resit Sendag, David J. Lilja Using Incorrect Speculation to Prefetch Data in a Concurrent Multithreaded Processor. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF superthreaded architecture, wrong-thread executioin, wrong-path execution, wrong execution cache (WEC), data prefetch, multithreaded processor
35Stevan A. Vlaovic, Edward S. Davidson Boosting trace cache performance with nonhead miss speculation. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, branch prediction, trace cache, x86
35Erik M. Nystrom, Ronald D. Barnes, Matthew C. Merten, Wen-mei W. Hwu Code Reordering and Speculation Support for Dynamic Optimization System. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Toshinori Sato Decoupling Recovery Mechanism for Data Speculation from Dynamic Instruction Scheduling Structure. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35José González 0002, Antonio González 0001 Memory Address Prediction for Data Speculation. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Arun Raman, Hanjun Kim 0001, Thomas R. Mason, Thomas B. Jablin, David I. August Speculative parallelization using software multi-threaded transactions. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-threaded transactions, automatic parallelization, software transactional memory, thread-level speculation, loop-level parallelism, pipelined parallelism
Displaying result #1 - #100 of 1035 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license