The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculative with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1990 (15) 1991-1992 (23) 1993 (21) 1994 (25) 1995 (31) 1996 (20) 1997 (34) 1998 (44) 1999 (61) 2000 (56) 2001 (53) 2002 (72) 2003 (74) 2004 (85) 2005 (99) 2006 (79) 2007 (93) 2008 (76) 2009 (74) 2010 (66) 2011 (51) 2012 (55) 2013 (51) 2014 (47) 2015 (52) 2016 (48) 2017 (61) 2018 (66) 2019 (104) 2020 (84) 2021 (94) 2022 (91) 2023 (111) 2024 (30)
Publication types (Num. hits)
article(547) incollection(12) inproceedings(1433) phdthesis(54)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1204 occurrences of 608 keywords

Results
Found 2046 publication records. Showing 2046 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
144Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 Supporting speculative parallelization in the presence of dynamic data structures. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore processors, speculative parallelization
127Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Exploiting reference idempotency to reduce speculative storage overflow. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Idempotent references, compiler-assisted speculative execution, speculation
126Xiaoru Dai, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew A General Compiler Framework for Speculative Optimizations Using Data Speculative Code Motion. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
114Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai A cost-driven compilation framework for speculative parallelization of sequential programs. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization
91Jialin Dou, Marcelo H. Cintra A compiler cost model for speculative parallelization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-level speculation, speculative multithreading, Speculative parallelization
91T. N. Vijaykumar, Sridhar Gopal, James E. Smith, Gurindar S. Sohi Speculative Versioning Cache. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Speculative memory, snooping cache coherence protocols, speculative versioning, memory disambiguation
88Chen Tian 0002, Min Feng 0001, Vijay Nagarajan, Rajiv Gupta 0001 Copy or Discard execution model for speculative parallelization on multicores. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
88Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Reference idempotency analysis: a framework for optimizing speculative execution. Search on Bibsonomy PPoPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
77Prakash Prabhu, Ganesan Ramalingam, Kapil Vaswani Safe programmable speculative parallelism. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF purity, rollback freedom, safety, speculative parallelism, value speculation
77Katsumi Inoue, Koji Iwanuma Speculative Computation Through Consequence-Finding in Multi-Agent Environments. Search on Bibsonomy Ann. Math. Artif. Intell. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF consequence-finding, SOL calculus, conditional answer, default logic, speculative computation
71Yangchun Luo, Venkatesan Packirisamy, Wei-Chung Hsu, Antonia Zhai, Nikhil Mungre, Ankit Tarkas Dynamic performance tuning for speculative threads. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelism, multicore, dynamic optimization, thread-level speculation
71Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Tolerating Dependences Between Large Speculative Threads Via Sub-Threads. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
71Jialin Dou, Marcelo H. Cintra Compiler Estimation of Load Imbalance Overhead in Speculative Parallelization. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
71Hideki Ando, Chikako Nakanishi, Tetsuya Hara, Masao Nakaya Unconstrained Speculative Execution with Predicated State Buffering. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MIPS R3000
69Jong Wook Kwak, Chu Shik Jhon Recovery Logics for Speculative Update Global and Local Branch History. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Speculative Update Branch History, Recovery Logic, gshare Predictor, Branch Prediction, Branch History
69Marcelo H. Cintra, Diego R. Llanos Ferraris Design Space Exploration of a Software Speculative Parallelization Scheme. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parallel architectures, thread-level speculation, Speculative parallelization
69Mohamed F. Younis, Thomas J. Marlowe, Alexander D. Stoyen, Grace Tsai Statically Safe Speculative Execution for Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF shadow execution, Real-time systems, distributed computation, static analysis, speculative execution, compiler transformations
67Diego R. Llanos Ferraris, David Orden, Belén Palop Just-In-Time Scheduling for Loop-based Speculative Parallelization. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF loop-based speculation, scheduling, speculative multithreading, Speculative parallelization
67Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan A compiler framework for speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF speculative SSA form, speculative weak update, partial redundancy elimination, Data speculation, register promotion
67Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan A compiler framework for speculative analysis and optimizations. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF speculative SSA form, speculative weak update, partial redundancy elimination, data speculation, register promotion
67Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative Dynamic Vectorization. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Speculative dynamic vectorization, wide buses, speculative data computation, control independence, vector instructions
67P. V. R. Murthy, V. Rajaraman Implementation of Speculative Parallelism in Functional Languages. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF compile-time analysis technique, supercombinator, speculative evaluation, conservative parallelism, branch speculation, argument speculation, performance, probability, probability, functional programming, parallel languages, functional languages, lazy evaluation, speculative parallelism, user-defined function, strictness analysis
63Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry CMP Support for Large and Dependent Speculative Threads. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF databases, Multiprocessor Systems, cache coherence, thread-level speculation
63Jesús Alastruey, Teresa Monreal, Víctor Viñals, Mateo Valero Microarchitectural Support for Speculative Register Renaming. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Tong Chen 0010, Jin Lin, Xiaoru Dai, Wei-Chung Hsu, Pen-Chung Yew Data Dependence Profiling for Speculative Optimizations. Search on Bibsonomy CC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Liming Wang, Houkuan Huang, Yumei Chai Speculative Computation with Deadline and Its Resource Negotiation under Time Constraints. Search on Bibsonomy IAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Renato J. O. Figueiredo, José A. B. Fortes Hardware Support for Extracting Coarse-Grain Speculative Parallelism in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
63Jamison D. Collins, Hong Wang 0003, Dean M. Tullsen, Christopher J. Hughes, Yong-Fong Lee, Daniel M. Lavery, John Paul Shen Speculative precomputation: long-range prefetching of delinquent loads. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
62Zheng Chen, Yin-Liang Zhao, Xiao-Yu Pan, Zhao-Yu Dong, Bing Gao, Zhi-Wen Zhong An Overview of Prophet. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thread partitioning, Pre-computation slice, Speculative Multithreading Architecture, Thread level parallelism, Speculative multithreading
62Ilhyun Kim, Mikko H. Lipasti Implementing Optimizations at Decode Time. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF speculative scheduling, speculative decode, silent store, reference combining, confidence prediction, runtime optimizations
61Edmund B. Nightingale, Peter M. Chen, Jason Flinn Speculative execution in a distributed file system. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF causality, speculative execution, Distributed file systems
61Christopher J. F. Pickett, Clark Verbrugge SableSpMT: a software framework for analysing speculative multithreading in Java. Search on Bibsonomy PASTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF static and dynamic analysis, java, virtual machines, profiling, thread level speculation, speculative multithreading
61Edmund B. Nightingale, Peter M. Chen, Jason Flinn Speculative execution in a distributed file system. Search on Bibsonomy SOSP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF causality, speculative execution, distributed file systems
61David Petrou, Gregory R. Ganger, Garth A. Gibson Cluster scheduling for explicitly-speculative tasks. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimistic, cluster, speculative, grid scheduling
61Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh, Sajal K. Das 0001 Performance Optimization Problem in Speculative Prefetching. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Speculative prefetching, caching
61Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization
58Ruben Gran Tejero, Enric Morancho, Àngel Olivé, José María Llabería On reducing misspeculations in a pipelined scheduler. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
57Marcelo H. Cintra, Josep Torrellas Speculative Multithreading Eliminating Squashes through Learning Cross-Thread Violations in Speculative Parallelization for Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Shared-Memory Multiprocessors, Speculative Parallelization
55Marcin Brzuszek, Anna Sasak, Marcin Turek Speculative Computing of Recursive Functions Taking Values from Finite Sets. Search on Bibsonomy ISPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
55Cristian Tapus Kernel Level Speculative DSM. Search on Bibsonomy CCGRID The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Jaroslaw Forenc, Andrzej Jordan, Marek Tudruj A Survey of Speculative Methods for Transient State Analysis. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55José F. Martínez, Josep Torrellas Speculative synchronization: applying thread-level speculation to explicitly parallel applications. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Ken Satoh, Keiji Yamamoto Speculative computation with multi-agent belief revision. Search on Bibsonomy AAMAS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha Incorporating speculative execution into scheduling ofcontrol-flow-intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
55Pedro Marcuello, Jordi Tubella, Antonio González 0001 Value Prediction for Speculative Multithreaded Architectures. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55P. Krishna Reddy, Masaru Kitsuregawa Speculative Lock Management to Increase Concurrency in Mobile Environments. Search on Bibsonomy MDA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha Incorporating Speculative Execution into Scheduling of Control-Flow Intensive Behavioral Descriptions. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
55Randy B. Osborne Speculative Computation in Multilisp. Search on Bibsonomy LISP and Functional Programming The full citation details ... 1990 DBLP  DOI  BibTeX  RDF MULTILISP
55Randy B. Osborne Speculative Computation in Multilisp. Search on Bibsonomy Workshop on Parallel Lisp The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
53Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
52Carlos Madriles, Carlos García Quiñones, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen, Hong Wang 0003, John Paul Shen Mitosis: A Speculative Multithreaded Processor Based on Precomputation Slices. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculative thread level parallelism, pre-computation slices, thread partitioning, multi-core architecture
52Easwaran Raman, Neil Vachharajani, Ram Rangan, David I. August Spice: speculative parallel iteration chunk execution. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic paralleization, thread level parallelism, multicore architectures, speculative parallelization, value speculation
52Alexander J. Macdonald, David F. Brailsford, Steven R. Bagley, John William Lumley Speculative document evaluation. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VDP, speculative evaluation, optimisation, SVG, document layout, PPML
52Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai Recovery code generation for general speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Recovery code, multi-level data speculation, speculative SSA form
52Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache filtering, speculative memory references, Caches, runahead execution, cache pollution
52Carlos García Quiñones, Carlos Madriles, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF pre-computation slices, automatic parallelization, thread-level parallelism, speculative multithreading
52Peng-Sheng Chen, Ming-Yu Hung, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee Compiler support for speculative multithreading architecture with probabilistic points-to analysis. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF probabilistic points-to analysis, parallelization, dependence analysis, speculative multithreading
52Marcelo H. Cintra, Diego R. Llanos Ferraris Toward efficient and robust software speculative parallelization on multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF thread-level speculation, speculative parallelization
52Pedro Marcuello, Antonio González 0001 Thread-Spawning Schemes for Speculative Multithreading. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Thread-spawning policies, Thread-level parallelism, Speculative multithreading
52Anasua Bhowmik, Manoj Franklin A general compiler framework for speculative multithreading. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TLP compiler, thread formation, parallelization, data dependence, thread-level parallelism (TLP), control dependence, speculative multithreading (SpMT)
52Seon Wook Kim, Rudolf Eigenmann Compiler Techniques for Energy Saving in Instruction Caches of Speculative Parallel Microarchitectures. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF speculative microarchitecture, compiler, branch prediction, energy saving, instruction cache
52Jeffrey T. Oplinger, David L. Heine, Monica S. Lam In Search of Speculative Thread-Level Parallelism. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Speculative Threads, Parallelism, Value Prediction
52Mohamed F. Younis, Grace Tsai, Thomas J. Marlowe, Alexander D. Stoyenko Using speculative execution for fault tolerance in a real-time system. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF primary-backup approach, timeliness properties, fault tolerance, real-time systems, real-time system, compiler, fault tolerant computing, program compilers, simulation results, speculative execution
50Cosmin E. Oancea, Alan Mycroft, Tim Harris 0001 A lightweight in-place implementation for software thread-level speculation. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF roll-back, thread-level speculation (tls)
50Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles Hardware atomicity for reliable software speculation. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, optimization, checkpoint, atomicity, speculation, isolation
50Youfeng Wu, Yong-Fong Lee Comprehensive Redundant Load Elimination for the IA-64 Architecture. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Kilo-instruction processors, runahead and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors
47Scott A. Mahlke, William Y. Chen, Roger A. Bringmann, Richard E. Hank, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker Sentinel Scheduling for VLIW and Superscalar Processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exception detection, exception recovery, instruction-level parallelism, instruction scheduling, speculative execution, superscalar processor, VlIW processor
46Cristian Tapus, Jason Hickey Distributed speculative execution for reliability and fault tolerance: an operational semantics. Search on Bibsonomy Distributed Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fault tolerance, Distributed systems, Transactions, Operational semantics, Speculations
46Michael Factor, Assaf Schuster, Konstantin Shagin, Tal Zamir Optimistic concurrency for clusters via speculative locking. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed computing, optimistic concurrency control
46Hans Vandierendonck, André Seznec Speculative return address stack management revisited. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Return address prediction, back-up predictor, corruption detection
46Yaobin Wang, Hong An, Bo Liang, Li Wang, Ming Cong, Yongqing Ren Balancing Thread Partition for Efficiently Exploiting Speculative Thread-Level Parallelism. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Caching and buffering support, memory hierarchies, shared-memory multiprocessors, thread-level speculation, coherence protocol
46Taku Ohsawa, Masamichi Takagi, Shoji Kawahara, Satoshi Matsushita Pinot: Speculative Multi-threading Processor Architecture Exploiting Parallelism over a Wide Range of Granularities. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Rahul Nagpal, Anasua Bhowmik Criticality Based Speculation Control for Speculative Multithreaded Architectures. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Xiao-Feng Li, Chen Yang, Zhao-Hui Du, Tin-Fook Ngai Exploiting Thread-Level Speculative Parallelism with Software Value Prediction. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Fredrik Warg, Per Stenström Reducing misspeculation overhead for module-level speculative execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation
46David Petrou, Garth A. Gibson, Gregory R. Ganger Scheduling speculative tasks in a compute farm. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46P. Krishna Reddy, Masaru Kitsuregawa Speculative Locking Protocols to Improve Performance for Distributed Database System. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, Distributed database, concurrency control, transaction processing, locking, speculation
46Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
46Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew Speculative Register Promotion Using Advanced Load Address Table (ALAT). Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Ken Satoh, Philippe Codognet, Hiroshi Hosobe Speculative Constraint Processing in Multi-agent Systems. Search on Bibsonomy PRIMA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Chris Gniady, Babak Falsafi Speculative Sequential Consistency with Little Custom Storage. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Mohamed M. Zahran, Manoj Franklin Return-Address Prediction in Speculative Multithreaded Environments. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Raimund Schröder, Werner E. Kluge Organizing Speculative Computations in Functional Systems. Search on Bibsonomy IFL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Marcelo H. Cintra, José F. Martínez, Josep Torrellas Architectural support for scalable speculative parallelization in shared-memory multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Paul Feautrier Basis of Parallel Speculative Execution. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
46Brian L. Deitrich, Wen-mei W. Hwu Speculative Hedge: Regulating Compile-time Speculation Against Profile Variations. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Jingling Xue, Qiong Cai A lifetime optimal algorithm for speculative PRE. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF classic PRE, speculative PRE, data-flow analysis, Partial redundancy elimination, lifetime optimality, computational optimality
44Pedro Marcuello, Antonio González 0001, Jordi Tubella Thread Partitioning and Value Prediction for Exploiting Speculative Thread-Level Parallelism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Speculative thread-level parallelism, thread spawning policies, branch prediction, value prediction, clustered architectures
44Ying Hu 0003, Clark W. Barrett, Benjamin Goldberg Theory and Algorithms for the Generation and Validation of Speculative Loop Optimizations. Search on Bibsonomy SEFM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Compiler validation, speculative loop optimizations, formal methods, translation validation
44Anasua Bhowmik, Manoj Franklin A fast approximate interprocedural analysis for speculative multithreading compilers. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pointer analysis, thread-level parallelism (TLP), interprocedural analysis, speculative multithreading (SpMT)
44Iffat H. Kazi, David J. Lilja Coarse-Grained Thread Pipelining: A Speculative Parallel Execution Model for Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Runtime parallelization, thread pipelining, superthreaded architecture, shared-memory multiprocessors, speculative execution, coarse-grained parallelization
44Iffat H. Kazi, David J. Lilja JavaSpMT: A Speculative Thread Pipelining Parallelization Model for Java Programs. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF run-time dependence checking, shared-memory multprocessors, Java, multithreading, speculative execution, Parallelization model
44Freddy Gabbay, Avi Mendelson Using Value Prediction to Increase the Power of Speculative Execution Hardware. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF stride value prediction, speculative execution, value prediction
44Xinbo Gao 0001, Hiroyuki Iida, Jos W. H. M. Uiterwijk, H. Jaap van den Herik A Speculative Strategy. Search on Bibsonomy Computers and Games The full citation details ... 1998 DBLP  DOI  BibTeX  RDF speculative play, pruning, opponent modelling, Othello
44Andrew Sohn Parallel N-ary Speculative Computation of Simulated Annealing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF synchronous simulated annealing, simulated annealing, combinatorial optimization, Traveling Salesman Problem, Parallel simulated annealing, speculative computation
44M. Anton Ertl, Andreas Krall Delayed Exceptions - Speculative Execution of Trapping Instructions. Search on Bibsonomy CC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF instruction-level parallelism, software pipelining, exception, speculative execution, superscalar
42Paolo Romano 0002, Roberto Palmieri, Francesco Quaglia, Nuno Carvalho, Luís E. T. Rodrigues An Optimal Speculative Transactional Replication Protocol. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF replicated transactional system, speculative processing, view serializability, speculative replication protocol, distributed system
Displaying result #1 - #100 of 2046 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license