|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5594 occurrences of 3127 keywords
|
|
|
Results
Found 7295 publication records. Showing 7291 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
83 | Yumiko Taguchi, Yasushi Akiyama, Tsutomu Tabe |
Information on the Causal Relationship Between Store kaizen and Store Features That Attract Customers by Covariance Structural Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (9) ![In: Human Interface and the Management of Information. Interacting in Information Environments, Symposium on Human Interface 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part II, pp. 973-982, 2007, Springer, 978-3-540-73353-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
store features that attract customers, store kaizen, covariance structural analysis, causal relationship |
78 | Changpeng Fang, Steve Carr 0001, Soner Önder, Zhenlin Wang |
Feedback-directed memory disambiguation through store distance analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 278-287, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
store distance, memory disambiguation |
70 | Tingting Sha, Milo M. K. Martin, Amir Roth |
NoSQ: Store-Load Communication without a Store Queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 285-296, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
69 | Scott M. Meyer, Jutta Degener, John Giannandrea, Barak Michener |
Optimizing schema-last tuple-store queries in graphd. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2010, Indianapolis, Indiana, USA, June 6-10, 2010, pp. 1047-1056, 2010, ACM, 978-1-4503-0032-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
graph store, schema-last, tuple-store, database, object-oriented, query optimization, triple-store |
66 | Samantika Subramaniam, Gabriel H. Loh |
Fire-and-Forget: Load/Store Scheduling with No Store Queue at All. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 273-284, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
65 | Daniel J. Abadi, Samuel Madden 0001, Nabil Hachem |
Column-stores vs. row-stores: how different are they really? ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2008, Vancouver, BC, Canada, June 10-12, 2008, pp. 967-980, 2008, ACM, 978-1-60558-102-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
c-store, column-oriented dbms, invisible join, tuple materialization, tuple reconstruction, compression, column-store |
57 | Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos |
Mechanisms for store-wait-free multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 266-277, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
store buffer design, memory consistency models |
55 | J. H. Chang, H. H. Chao, K. Lewis, M. Holland |
Control store implementation of a high performance VLSI CISC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 21st Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1988, San Diego, California, USA, November 28 - December 2, 1988, pp. 79-82, 1988, ACM/IEEE, 0-8186-1919-8. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
CICS/VS |
54 | Sung-Kwan Kim, Sang Lyul Min, Rhan Ha |
Efficient worst case timing analysis of data caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 2nd IEEE Real-Time Technology and Applications Symposium, RTAS '96, Boston, MA, USA, June 10-12, 1996, pp. 230-240, 1996, IEEE Computer Society, 0-8186-7448-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
efficient worst case timing analysis, accurate timing analysis, pipelined execution, multiple memory locations, pointer based references, dynamic load/store instructions, WCET overestimation, global data flow analysis, benchmark programs, real-time systems, computational complexity, data caching, cache storage, instruction sets, reduced instruction set computing, data dependence analysis, cache block |
52 | Andrew D. Hilton, Amir Roth |
Decoupled store completion/silent deterministic replay: enabling scalable data memory for CPR/CFP processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 245-254, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
checkpoint processors, load-store queues |
51 | Talya Porat, Noam Tractinsky |
Affect as a Mediator between Web-Store Design and Consumers' Attitudes toward the Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Affect and Emotion in Human-Computer Interaction ![In: Affect and Emotion in Human-Computer Interaction, From Theory to Applications, pp. 142-153, 2008, Springer, 978-3-540-85098-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Web-store atmosphere, design, usability, Emotions, aesthetics |
48 | Cheng-Li Liu, Shiaw-Tsyr Uang, Chen-Hao Chang |
Goods-Finding and Orientation in the Elderly on 3D Virtual Store Interface: The Impact of Classification and Landmarks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (1) ![In: Human-Computer Interaction. New Trends, 13th International Conference, HCI International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part I, pp. 474-483, 2009, Springer, 978-3-642-02573-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
3D virtual store, Goods-finding, Goods-classification, Route knowledge, Survey knowledge, Landmarks |
48 | Talya Porat, Rinat Liss, Noam Tractinsky |
E-Stores Design: The Influence of E-Store Design and Product Type on Consumers' Emotions and Attitudes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (4) ![In: Human-Computer Interaction. HCI Applications and Services, 12th International Conference, HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part IV, pp. 712-721, 2007, Springer, 978-3-540-73109-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Web-store atmosphere, design, usability, emotions, Aesthetics |
48 | Jim Nilsson, Fredrik Dahlgren |
Improving Performance of Load-Store Sequences for Transaction Processing Workloads on Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 246-257, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
load-store sequences, performance evaluation, databases, operating systems, multiprocessors, computer architecture, transaction processing, cache coherence protocols |
46 | Yuan Chou, Lawrence Spracklen, Santosh G. Abraham |
Store Memory-Level Parallelism Optimizations for Commercial Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 183-196, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Amir Roth |
Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 458-468, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
45 | Gautam Bhargava, Shashi K. Gadia |
Relational Database Systems with Zero Information Loss. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 5(1), pp. 76-87, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
zero information loss, time stamping object values, zero information loss model, database transactions, data history store, update store, query store, relational databases, transaction processing, security of data, transaction time, relational database systems |
44 | Tingting Sha, Milo M. K. Martin, Amir Roth |
NoSQ: Store-Load Communication without a Store Queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 27(1), pp. 106-113, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
microarchitecture, RISC, pipeline processors, VLIW architectures, CISC |
44 | Moritz Hammer, Michael Weber 0002 |
"To Store or Not To Store" Reloaded: Reclaiming Memory on Demand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMICS/PDMC ![In: Formal Methods: Applications and Technology, 11th International Workshop, FMICS 2006 and 5th International Workshop PDMC 2006, Bonn, Germany, August 26-27, and August 31, 2006, Revised Selected Papers, pp. 51-66, 2006, Springer, 978-3-540-70951-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Tingting Sha, Milo M. K. Martin, Amir Roth |
Scalable Store-Load Forwarding via Store Queue Index Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 159-170, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
43 | Arun Pereira |
Modeling an organizational decision support system to improve retailers' decisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (4) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 933-940, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
retail data processing, retailer decision support, retail organizations, grocery stores, department stores, scanner technology, retail organization, individual store profits, optimal brands, price promotions, store profits, profit potential, decision support systems, mathematical model, business data processing, ecology, financial data processing, organizational decision support system |
42 | Samantika Subramaniam, Gabriel H. Loh |
Store vectors for scalable memory dependence prediction and scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 12th International Symposium on High-Performance Computer Architecture, HPCA-12 2006, Austin, Texas, USA, February 11-15, 2006, pp. 65-76, 2006, IEEE Computer Society, 0-7803-9368-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
42 | Amit Gandhi, Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan, Konrad K. Lai |
Scalable Load and Store Processing in Latency Tolerant Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 446-457, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
41 | Virpi Kristiina Tuunainen, Tuure Tuunanen, Jouni Piispanen |
Mobile Service Platforms: Comparing Nokia OVI and Apple App Store with the IISIn Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMB ![In: International Conference on Mobile Business (ICMB 2011), Como, Italy, June 20-21, 2011, pp. 74-83, 2011, IEEE, 978-1-4577-0497-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Mobile service platform, ICT intensive service innovations model, Nokia Ovi Store, Apple App Store |
41 | Eric Mays, Sitaram Lanka, Robert Dionne, Robert A. Weida |
A Persistent Store for Large Shared Knowledge Bases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 3(1), pp. 33-41, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
persistent store, large shared knowledge bases, multiple knowledge engineers, version store, persistent storage structure, knowledge representation, knowledge based systems, database management systems, knowledge engineer, knowledge-base management system |
39 | Takumi Kaneko, Yuichiro Nakamura, Michiko Anse, Tsutomu Tabe, Yumiko Taguchi |
A Method for Generating Plans for Retail Store Improvements Using Text Mining and Conjoint Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (9) ![In: Human Interface and the Management of Information. Interacting in Information Environments, Symposium on Human Interface 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part II, pp. 910-917, 2007, Springer, 978-3-540-73353-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Customer-satisfaction measurement, Definition Method, Store-improvement plan, Text Mining, Conjoint Analysis |
39 | M. Morioka |
S. Yamaguchi, T. Bandoh: Evaluation of Memory System for Integrated Prolog Processor IPP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 203-210, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
Prolog |
39 | Lisa Higham, LillAnne Jackson, Jalal Kawash |
Specifying memory consistency of write buffer multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 25(1), pp. 1, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha |
38 | Muhaddisa Barat Ali, Xiaohan Bai, Irene Yu-Hua Gu, Mitchel S. Berger, Asgeir Store Jakola |
A Feasibility Study on Deep Learning Based Brain Tumor Segmentation Using 2D Ellipse Box Areas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 22(14), pp. 5292, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
38 | Chenjie Ge, Irene Yu-Hua Gu, Asgeir Store Jakola, Jie Yang 0002 |
Enlarged Training Dataset by Pairwise GANs for Molecular-Based Brain Tumor Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 8, pp. 22560-22570, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
38 | Chenjie Ge, Irene Yu-Hua Gu, Asgeir Store Jakola, Jie Yang 0002 |
Deep semi-supervised learning for brain tumor classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMC Medical Imaging ![In: BMC Medical Imaging 20(1), pp. 87, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
38 | Chenjie Ge, Qixun Qu, Irene Yu-Hua Gu, Asgeir Store Jakola |
Multi-stream multi-scale deep convolutional networks for Alzheimer's disease detection using MR images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neurocomputing ![In: Neurocomputing 350, pp. 60-69, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
38 | Chenjie Ge, Irene Yu-Hua Gu, Asgeir Store Jakola, Jie Yang 0002 |
Cross-Modality Augmentation of Brain Mr Images Using a Novel Pairwise Generative Adversarial Network for Enhanced Glioma Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: 2019 IEEE International Conference on Image Processing, ICIP 2019, Taipei, Taiwan, September 22-25, 2019, pp. 559-563, 2019, IEEE, 978-1-5386-6249-6. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
38 | Chenjie Ge, Qixun Qu, Irene Yu-Hua Gu, Asgeir Store Jakola |
Multiscale Deep Convolutional Networks for Characterization and Detection of Alzheimer's Disease Using MR images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: 2019 IEEE International Conference on Image Processing, ICIP 2019, Taipei, Taiwan, September 22-25, 2019, pp. 789-793, 2019, IEEE, 978-1-5386-6249-6. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
38 | Muhaddisa Barat Ali, Irene Yu-Hua Gu, Asgeir Store Jakola |
Multi-stream Convolutional Autoencoder and 2D Generative Adversarial Network for Glioma Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAIP (1) ![In: Computer Analysis of Images and Patterns - 18th International Conference, CAIP 2019, Salerno, Italy, September 3-5, 2019, Proceedings, Part I, pp. 234-245, 2019, Springer, 978-3-030-29887-6. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
38 | Chenjie Ge, Qixun Qu, Irene Yu-Hua Gu, Asgeir Store Jakola |
3D Multi-Scale Convolutional Networks for Glioma Grading Using MR Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: 2018 IEEE International Conference on Image Processing, ICIP 2018, Athens, Greece, October 7-10, 2018, pp. 141-145, 2018, IEEE, 978-1-4799-7061-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
38 | Karl Bäckström, Mahmood Nazari, Irene Yu-Hua Gu, Asgeir Store Jakola |
An efficient 3D deep convolutional network for Alzheimer's disease diagnosis using MR images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: 15th IEEE International Symposium on Biomedical Imaging, ISBI 2018, Washington, DC, USA, April 4-7, 2018, pp. 149-153, 2018, IEEE, 978-1-5386-3636-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
38 | Chenjie Ge, Irene Yu-Hua Gu, Asgeir Store Jakola, Jie Yang 0002 |
Deep Learning and Multi-Sensor Fusion for Glioma Classification Using Multistream 2D Convolutional Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMBC ![In: 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2018, Honolulu, HI, USA, July 18-21, 2018, pp. 5894-5897, 2018, IEEE, 978-1-5386-3646-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
38 | Maiken Veium Schatvet, Marit Store-Valen, Amin Haddadi |
Viability: A fundamental aspect of value creation in hospital projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSIT (2) ![In: 2017 12th International Scientific and Technical Conference on Computer Sciences and Information Technologies (CSIT), Lviv, Ukraine, September 5-8, 2017 - Volume 2., pp. 240-245, 2017, IEEE, 978-1-5386-1638-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
38 | Torstein Thode Kristoffersen, Dagfinn Snarheim, Lars Imsland, Marius Store Govatsmark |
Optimal and robust production of high pressure steam. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECC ![In: 13th European Control Conference, ECC 2014, Strasbourg, France, June 24-27, 2014, pp. 491-497, 2014, IEEE, 978-3-9524269-1-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
38 | Ron Store, Harri Antikainen |
Using GIS-based multicriteria evaluation and path optimization for effective forest field inventory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Environ. Urban Syst. ![In: Comput. Environ. Urban Syst. 34(2), pp. 153-161, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
37 | Lei-da Chen |
Enticing online consumers: a technology acceptance perspective research-in-progress. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCPR ![In: Proceedings of the ACM SIGCPR Conference on Computer Personnel Research, Chicago, Illinois, USA, 2000, pp. 124-128, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
virtual store, electronic commerce, technology acceptance model |
35 | S. G. Li, Z. M. Wu |
Business performance forecasting of convenience store based on enhanced fuzzy neural network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 17(5-6), pp. 569-578, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Convenience store, Genetic algorithm, Forecast, Fuzzy neural network |
35 | Alexander Meschtscherjakov, Wolfgang Reitberger, Michael Lankes, Manfred Tscheligi |
Enhanced shopping: a dynamic map in a retail store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UbiComp ![In: UbiComp 2008: Ubiquitous Computing, 10th International Conference, UbiComp 2008, Seoul, Korea, September 21-24, 2008, Proceedings, pp. 336-339, 2008, ACM, 978-1-60558-136-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
retail store, shopping environment, ubiquitous computing, field study, ambient display, dynamic map |
35 | Yi-Ying Tsai, Chia-Jung Hsu, Chung-Ho Chen |
Power-efficient and scalable load/store queue design via address compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 1523-1527, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
address compression, load-store queue, scalable design, power-efficient |
35 | Thorsten Schütt, Florian Schintke, Alexander Reinefeld |
Scalaris: reliable transactional p2p key/value store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Erlang Workshop ![In: Proceedings of the 7th ACM SIGPLAN workshop on ERLANG, Victoria, BC, Canada, September 27, 2008, pp. 41-48, 2008, ACM, 978-1-60558-065-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
key/value store, peer-to-peer, transactions, wikipedia |
35 | Lily Lin, Huey-Ming Lee, Li-Hsueh Lin |
Comparison of Consumer Behaviors in Taiwan Non-store Channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (3) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, KES 2007, XVII Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007, Proceedings, Part III, pp. 363-369, 2007, Springer, 978-3-540-74828-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Non-store channels, consumer characteristics, consumer behaviors |
35 | Wolfgang Reitberger, Christoph Obermair, Bernd Ploderer, Alexander Meschtscherjakov, Manfred Tscheligi |
Enhancing the Shopping Experience with Ambient Displays: A Field Study in a Retail Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AmI ![In: Ambient Intelligence, European Conference, AmI 2007, Darmstadt, Germany, November 7-10, 2007, Proceedings, pp. 314-331, 2007, Springer, 978-3-540-76651-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
shopping experience, shopping environments, retail store, awareness, field study, ambient displays |
35 | Amit Gandhi, Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan, Konrad Lai |
Scalable Load and Store Processing in Latency-Tolerant Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 26(1), pp. 30-39, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Latency-tolerant processors, load and store, CAM |
35 | Matthew M. Haag, Emmanuel Agu, Rick Komerska, Steven G. Chappell, Radim Bartos |
Status packet deprecation and store-forward routing in AUSNet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Underwater Networks ![In: Proceedings of the First Workshop on Underwater Networks, WUWNET 2006, Los Angeles, CA, USA, September 25, 2007, pp. 86-92, 2006, ACM, 1-59593-484-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
partitioned networks, status packets, store forward routing, undersea networks |
35 | Alain Azagury, Ran Canetti, Michael Factor, Shai Halevi, Ealan Henis, Dalit Naor, Noam Rinetzky, Ohad Rodeh, Julian Satran |
A Two Layered Approach for Securing an Object Store Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Security in Storage Workshop ![In: Proceedings of the First International IEEE Security in Storage Workshop, SISW 2002, Greenbelt, Maryland, USA, December 11, 2002, pp. 10-23, 2002, IEEE Computer Society, 0-7695-1888-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Object Store Device, SAN, Storage Security |
35 | Kevin M. Lepak, Gordon B. Bell, Mikko H. Lipasti |
Silent Stores and Store Value Locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(11), pp. 1174-1190, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
store optimization, cache coherence, value prediction, false sharing, Value locality |
35 | Peter Gutmann |
A Reliable, Scalable General-Purpose Certificate Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSAC ![In: 16th Annual Computer Security Applications Conference (ACSAC 2000), 11-15 December 2000, New Orleans, Louisiana, USA, pp. 278-287, 2000, IEEE Computer Society, 0-7695-0859-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
general-purpose certificate store, scalability, standards, operating system, software reliability, software reliability, availability, public key cryptography, public key cryptography, PKI, error recovery, authorisation |
35 | Hector Ouilhet |
Google Sky Map: using your phone as an interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile HCI ![In: Proceedings of the 12th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile HCI 2010, Lisbon, Portugal, September 7-10, 2010, pp. 419-422, 2010, ACM, 978-1-60558-835-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
33 | Jun Li 0008, Ismail Ari, Jhilmil Jain, Alan H. Karp, Mohamed Dekhil |
Mobile In-store Personalized Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 727-734, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
33 | Takao Terano, Ariyuki Kishimoto, Toru Takahashi 0003, Takashi Yamada, Masakazu Takahashi |
Agent-Based In-Store Simulator for Analyzing Customer Behaviors in a Super-Market. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (2) ![In: Knowledge-Based and Intelligent Information and Engineering Systems, 13th International Conference, KES 2009, Santiago, Chile, September 28-30, 2009, Proceedings, Part II, pp. 244-251, 2009, Springer, 978-3-642-04591-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Customer Behaviors, Data Mining, Decision Support, Agent-Based Modeling, Multi-agent Based Simulation |
33 | Cem M. Baydar |
Optimization of Store Performance Using Personalized Pricing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Evolutionary Computation in Practice ![In: Evolutionary Computation in Practice, pp. 143-161, 2008, Springer, 978-3-540-75770-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Customer modeling and simulation, one-to-one modeling, individual pricing, evolutionary computation, agent-based simulation |
33 | John Osborne, Matthew Duffin |
Checkout: Kroger's store front simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference, WSC 2007, Washington, DC, USA, December 9-12, 2007, pp. 2385, 2007, WSC, 1-4244-1306-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Wolfgang Trumler, Jörg Ehrig, Andreas Pietzowski, Benjamin Satzger, Theo Ungerer |
A Distributed Self-healing Data Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATC ![In: Autonomic and Trusted Computing, 4th International Conference, ATC 2007, Hong Kong, China, July 11-13, 2007, Proceedings, pp. 458-467, 2007, Springer, 978-3-540-73546-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | Erika Gunadi, Mikko H. Lipasti |
A position-insensitive finished store buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 105-112, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
33 | George Z. Chrysos, Joel S. Emer |
Memory Dependence Prediction Using Store Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 142-153, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
32 | Soner Önder |
Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 22-25 September 2002, Charlottesville, VA, USA, pp. 232-241, 2002, IEEE Computer Society, 0-7695-1620-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
load speculation, memory dependence prediction, store sets, wide issue superscalar, speculative execution |
31 | Josef Schiefer, Beate List, Robert M. Bruckner |
Process Data Store: A Real-Time Data Store for Monitoring Business Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA ![In: Database and Expert Systems Applications, 14th International Conference, DEXA 2003, Prague, Czech Republic, September 1-5, 2003, Proceedings, pp. 760-770, 2003, Springer, 3-540-40806-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Nick Benton, Andrew Kennedy, Lennart Beringer, Martin Hofmann 0001 |
Relational semantics for effect-based program transformations: higher-order store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPDP ![In: Proceedings of the 11th International ACM SIGPLAN Conference on Principles and Practice of Declarative Programming, September 7-9, 2009, Coimbra, Portugal, pp. 301-312, 2009, ACM, 978-1-60558-568-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
higher-order store, logical relation, side effect |
31 | Kieran T. Herley, Andrea Pietracaprina, Geppino Pucci |
Store-and-Forward Multicast Routing on the Mesh. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 42(4), pp. 519-535, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Parallel communication primitives, One-to-many routing, Multicast, Mesh architecture, Store-and-forward routing |
31 | Jie Wu 0001, Shuhui Yang, Fei Dai 0001 |
Logarithmic Store-Carry-Forward Routing in Mobile Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(6), pp. 735-748, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
store-carry-forward, MANETs, network capacity, trajectory planning, mobile nodes |
31 | Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau |
Reducing data cache energy consumption via cached load/store queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 252-257, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
LSQ, load queue, store queue, low power, cache, memory, low energy, low latency |
31 | Jason C. Hung, Chun-Chia Wang, Kuan-Cheng Lin, Timothy K. Shih, Hsuan-Pu Chang |
Nomadic Media Server Technology for Supporting a New Business Model in E-Commerce-Chain Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 17th International Conference on Advanced Information Networking and Applications (AINA'03), March 27-29, 2003, Xi'an, China, pp. 181-184, 2003, IEEE Computer Society, 0-7695-1906-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Nomadic Media Server, Virtual Society, Broadband Communication, Chain Store, Electronic Commerce |
31 | Michal Soch, Pavel Tvrdík |
Optimal Gossip in Store-and-Forward Noncombining 2-D Tori. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 234-241, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
time-arc-disjoint broadcast trees, 2-D torus, interconnection networks, gossip, all-to-all broadcast, store-and-forward |
31 | José Duato |
A Necessary and Sufficient Condition for Deadlock-Free Routing in Cut-Through and Store-and-Forward Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(8), pp. 841-854, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
interconnection networks, design methodologies, Adaptive routing, deadlock avoidance, virtual cut-through, store-and-forward |
30 | Lisa Higham, LillAnne Jackson |
Translating between itanium and sparc memory consistency models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006, pp. 170-179, 2006, ACM, 1-59593-452-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multiprocessors, program transformations, memory consistency models, sparc, itanium |
29 | Paul Taverne, Cornelis Pronk |
RAFFS: Model Checking a Robust Abstract Flash File Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFEM ![In: Formal Methods and Software Engineering, 11th International Conference on Formal Engineering Methods, ICFEM 2009, Rio de Janeiro, Brazil, December 9-12, 2009. Proceedings, pp. 226-245, 2009, Springer, 978-3-642-10372-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Kanna Miwa, Soemon Takakuwa |
Simulation modeling and analysis for in-store merchandizing of retail stores with enhanced information technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 1702-1710, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Akihiro Ogino, Sae-ueng Somkiat, Toshikazu Kato |
The Inspiring Store: Decision Support System for Shopping Based on Individual Interests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (9) ![In: Human Interface and the Management of Information. Interacting in Information Environments, Symposium on Human Interface 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part II, pp. 948-954, 2007, Springer, 978-3-540-73353-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Shiwen Hu, Lizy Kurian John |
Avoiding store misses to fully modified cache blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: Proceedings of the 25th IEEE International Performance Computing and Communications Conference, IPCCC 2006, April 10-12, 2006, Phoenix, Arizona, USA, 2006, IEEE, 1-4244-0198-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Arvind, Jan-Willem Maessen |
Memory Model = Instruction Reordering + Store Atomicity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 33rd International Symposium on Computer Architecture (ISCA 2006), June 17-21, 2006, Boston, MA, USA, pp. 29-40, 2006, IEEE Computer Society, 0-7695-2608-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Sam S. Stone, Kevin M. Woley, Matthew I. Frank |
Address-Indexed Memory Disambiguation and Store-to-Load Forwarding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 171-182, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Hou Rui, Fuxin Zhang, Weiwu Hu |
A Memory Bandwidth Effective Cache Store Miss Policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24-26, 2005, Proceedings, pp. 750-760, 2005, Springer, 3-540-29643-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Fernando Castro, Daniel Chaver, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado, Michael C. Huang 0001 |
Load-Store Queue Management: an Energy-Efficient Design Based on a State-Filtering Mechanism.. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 617-624, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau |
Caching Values in the Load Store Queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2004), 4-8 October 2004, Vollendam, The Netherlands, pp. 580-587, 2004, IEEE Computer Society, 0-7695-2251-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Håkan Zeffer, Zoran Radovic, Oskar Grenholm, Erik Hagersten |
Exploiting Spatial Store Locality Through Permission Caching in Software DSMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings, pp. 551-560, 2004, Springer, 3-540-22924-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Yew-Huey Liu, Jih-Shyr Yih, Trieu C. Chieu |
A Personalized Offer Presentation Scheme for Retail In-Store Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EC-Web ![In: E-Commerce and Web Technologies, 5th International Conference, EC-Web 2004, Zaragoza, Spain, August 31-September 3, 2004, Proceedings, pp. 296-304, 2004, Springer, 3-540-22917-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Cem M. Baydar |
The role of special agents in today's world: agent-based modeling and simulation of store performance for personalized pricing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 35th Winter Simulation Conference: Driving Innovation, New Orleans, Louisiana, USA, December 7-10, 2003, pp. 1759-1764, 2003, IEEE Computer Society, 0-7803-8132-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
29 | Desmond Chambers, Gerard Lyons, Jim Duggan |
Design of a Virtual Store Using Distributed Object Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDSE ![In: International Symposium on Software Engineering for Parallel and Distributed Systems, PDSE 2000, Limerick, Ireland, June 10-11, 2000, pp. 66-75, 2000, IEEE Computer Society, 0-7695-0634-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Multimedia, E-Commerce, CORBA, Event Service |
29 | Soner Önder, Rajiv Gupta 0001 |
Dynamic Memory Disambiguation in the Presence of Out-of-Order Store Issuing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 170-176, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
28 | Pierre Fraigniaud, Joseph G. Peters |
Structured communication in torus networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (2) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 584-593, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
combinational switching, structured communication, one-to-all data movement patterns, all-to-all data movement patterns, 2D tori, multi-dimensional tori, synchronous circuit-switched routing, multi-scattering, circuit-switching algorithms, short routing, broadcasting, broadcasting, multiprocessor interconnection networks, gossiping, distributed memory systems, network routing, virtual channels, cycles, circuit switching, switching theory, scattering, torus networks, message length, store-and-forward routing |
28 | Ming-Yee Lai, W. Kevin Wilkinson, Vladimir Lanin |
On Distributing JASMIN's Optimistic Multiversioning Page Manager. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(6), pp. 696-704, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
optimistic multiversioning page manager, functionally distributed database system, multiple microcomputers, page manager, transaction-management facilities, multiversioning concurrency control scheme, conflict checking, real-time database applications, telephone switching, routing services, centralized database, JASMIN intelligent store module, system implementation techniques, process structure, fast response time, data structures, data structures, synchronization, distributed databases, concurrency control, message passing, transaction processing, software packages, high throughput, microcomputer applications, read-only transactions, software modules |
26 | Tia Newhall, Douglas Woos |
Incorporating Network RAM and Flash into Fast Backing Store for Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2011 IEEE International Conference on Cluster Computing (CLUSTER), Austin, TX, USA, September 26-30, 2011, pp. 121-129, 2011, IEEE Computer Society, 978-1-4577-1355-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Network RAM, cluster backing store, flash |
26 | Marko Harasic, Anne Augustin, Philipp Obermeier, Robert Tolksdorf |
RDFSwarms: selforganized distributed RDF triple store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 1339-1340, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
RDF, swarm intelligence, Linda, tuplespaces, triple store |
26 | Xudong Tu, Dan Feng 0001, Zhipeng Tan |
EDOS: Employing Mini-DB for High Semantic Object Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: Fifth International Conference on Networking, Architecture, and Storage, NAS 2010, Macau, China, July 15-17, 2010, pp. 420-428, 2010, IEEE Computer Society, 978-0-7695-4134-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
object store, database, search, file system |
26 | Ching-Long Yeh, Chun-Fu Chang, Kuo-Chung Lin |
Two-Level Chord-Ring for Ontology-based Distributed RDF Store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISIS ![In: CISIS 2010, The Fourth International Conference on Complex, Intelligent and Software Intensive Systems, Krakow, Poland, 15-18 February 2010, pp. 283-290, 2010, IEEE Computer Society, 978-0-7695-3967-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
RDF Store, Ontology, Semantic Web, RDF, Peer-to-Peer Network, JXTA, Chord |
26 | Xiaoming Gao, Mike Lowe, Yu Ma, Marlon E. Pierce |
Supporting Cloud Computing with the Virtual Block Store System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
eScience ![In: Fifth International Conference on e-Science, e-Science 2009, 9-11 December 2009, Oxford, UK, pp. 208-215, 2009, IEEE Computer Society, 978-0-7695-3877-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Virtual Block Store, Cloud computing |
26 | Jianyi Zhang, Ying Xu, Fei Chen |
Research on Operating Parameters and Energy Consumption of Cold Store Based on Rough Set Theory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD (5) ![In: Sixth International Conference on Fuzzy Systems and Knowledge Discovery, FSKD 2009, Tianjin, China, 14-16 August 2009, 6 Volumes, pp. 207-210, 2009, IEEE Computer Society, 978-0-7695-3735-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
operating parameters, cold store, rough set, energy consumption |
26 | Jörg Köller, Thomas List, Matthias Jarke |
Designing a Component Store for Chemical Engineering Software Solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 34th Annual Hawaii International Conference on System Sciences (HICSS-34), January 3-6, 2001, Maui, Hawaii, USA, 2001, IEEE Computer Society, 0-7695-0981-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
intangible goods, chemical engineering, store, CAPE, e-commerce, components, marketplace |
26 | Laurent Amsaleg, Michael J. Franklin, Olivier Gruber |
Garbage collection for a client-server persistent object store. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 17(3), pp. 153-201, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
recovery, client-server system, logging, persistent object-store |
26 | Jason Gait |
A Checkpointing Page Store for Write-Once Optical Disk. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 39(1), pp. 2-9, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
checkpointing page store, write-once optical disk, model paging system, optical disc storage, virtual memory, virtual storage |
26 | David Gelernter |
A DAG-Based Algorithm for Prevention of Store-and-Forward Deadlock in Packet Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 30(10), pp. 709-715, 1981. The full citation details ...](Pics/full.jpeg) |
1981 |
DBLP DOI BibTeX RDF |
store-and-forward deadlock, network operating systems, deadlock prevention, packet networks, Communication software |
26 | Constantine Halatsis, Nikolaos Gaitanis |
On the Minimization of the Control Store in Microprogrammed Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 27(12), pp. 1189-1192, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
AND/OR dependence sets, control store, minimal dependence sets, minimization, microprogramming |
26 | Totadri Jayasri, Dhruba Basu |
An Approach to Organizing Microinstructions which Minimizes the Width of Control Store Words. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 25(5), pp. 514-521, 1976. The full citation details ...](Pics/full.jpeg) |
1976 |
DBLP DOI BibTeX RDF |
maximal compatibility class, microinstruction organization, minimizing control store width, Linear programming, microprogramming |
26 | Hairong Lv, Xinxin Bai, Wen Jun Yin, Jin Dong |
Simulation based sales forecasting on retail small stores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 1711-1716, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 7291 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|