The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for temperature with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1974 (17) 1975-1983 (17) 1985-1987 (16) 1988-1989 (22) 1990-1991 (27) 1992 (15) 1993-1994 (39) 1995 (35) 1996 (30) 1997 (41) 1998 (56) 1999 (95) 2000 (113) 2001 (133) 2002 (177) 2003 (255) 2004 (314) 2005 (451) 2006 (535) 2007 (633) 2008 (660) 2009 (537) 2010 (452) 2011 (511) 2012 (487) 2013 (584) 2014 (658) 2015 (729) 2016 (733) 2017 (804) 2018 (982) 2019 (1038) 2020 (1101) 2021 (1200) 2022 (1293) 2023 (1339) 2024 (314)
Publication types (Num. hits)
article(8503) book(4) data(25) incollection(33) inproceedings(7804) phdthesis(74)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2113 occurrences of 1161 keywords

Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
92Shervin Sharifi, Tajana Simunic Rosing An analytical model for the upper bound on temperature differences on a chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF temperature difference, temperature variation, temperature, thermal management, sensor placement
80Inchoon Yeo, Chih Chun Liu, Eun Jung Kim 0001 Predictive dynamic thermal management for multicore systems. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF temperature
76Bin Zhang 0011, Michael Orshansky Modeling of NBTI-Induced PMOS Degradation under Arbitrary Dynamic Temperature Variation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF temperature variation, NBTI
71Han Longzhu, Xiu-gan Yuan Experimental Research of Evaluation of Temperature Ergonomics of EVA Spacesuit Glove. Search on Bibsonomy HCI (12) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF EVA spacesuit glove, low temperature, ergonomics, hand
71Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik Peak temperature control and leakage reduction during binding in high level synthesis. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switching, leakage, temperature, binding
70Daniel C. Vanderster, Amirali Baniasadi, Nikitas J. Dimopoulos Exploiting Task Temperature Profiling in Temperature-Aware Task Scheduling for Computational Clusters. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
70Runjie Shen, Wen He, Guangqing Wang, Zichen Chen Simulation and experiment on temperature control of temperature box in centrifugal field. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
68Kanupriya Gulati, Sunil P. Khatri, Peng Li 0001 Closed-loop modeling of power and temperature profiles of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sub-threshold leakage, dynamic power
68Emad S. Ebbini Noninvasive two-dimensional temperature imaging for guidance of thermal therapy. Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
67Danyu Bai, Lixin Tang, Meng Su A New Machine Scheduling Problem with Temperature Loss. Search on Bibsonomy WKDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Temperature Drop Curve, Total Temperature Drop Loss, Scheduling, NP-hard
67Seong-Ho Song Thermal data correction algorithm for electrical components using infrared camera. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
65Ping Xiao, Wen-bin Zhang Dynamic Analysis of Skin Temperature Distribution Exerted by Elastic Pants. Search on Bibsonomy BMEI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF skin temperature, skin pressure, infrared thermal imaging, elastic pants
64Tao Han 0010, Xiaojun Ji, Wenkang Shi Optimal pressure-sensitive cuts for surface acoustic waves on langasite. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF surface acoustic wave, langasite, pressure sensitivity, high temperature
64Priya Sundararajan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan Thermal characterization and optimization in platform FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Virtex4, platform FPGAs, thermal floorplan, placement, temperature, thermal
63Ja Chun Ku, Yehea I. Ismail On the Scaling of Temperature-Dependent Effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
59Jian-Jia Chen, Chia-Mei Hung, Tei-Wei Kuo On the Minimization fo the Instantaneous Temperature for Periodic Real-Time Tasks. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Temperature-aware scheduling, Real-time systems, Dynamic voltage scaling
57Man Kay Law, Amine Bermak A Time Domain differential CMOS Temperature Sensor with Reduced Supply Sensitivity. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
57Lei Zhang, Fei Zhou, Yaping Qian Develop of the Blast Furnace Soft Water Temperature Measurement System. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
57Weiping Liao, Lei He 0001, Kevin M. Lepak Temperature and supply Voltage aware performance and power modeling at microarchitecture level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57Nikhil Bansal 0001, Kirk Pruhs Speed Scaling to Manage Temperature. Search on Bibsonomy STACS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Wei Huang 0004, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan, Shougata Ghosh, Sivakumar Velusamy Compact thermal modeling for temperature-aware design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF temperature-aware computing, reliability, leakage, thermal model, temperature-aware design, power-aware design
56Zhijian Lu, Wei Huang 0004, John C. Lach, Mircea R. Stan, Kevin Skadron Interconnect lifetime prediction under dynamic stress for reliability-aware design. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Yo-Ping Huang, Jung-Shian Jau, Frode Eika Sandnes Temporal-spatial association analysis of ocean salinity and temperature variations. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ocean temperature and salinity variations, temporal-spatial association rules, data mining, climate changes
54Yufu Zhang, Ankur Srivastava 0001 Accurate temperature estimation using noisy thermal sensors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip sensor, estimation, multicore, temperature, DTM
54Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage/frequency scaling, energy, temperature dependency
54Gerardo M. Mendez, Luis Leduc-Lezama, Rafael Colas, Gabriel Murillo-Pérez, Jorge Ramírez-Cuellar, José J. López Application of Interval Type-2 Fuzzy Logic Systems for Control of the Coiling Entry Temperature in a Hot Strip Mill. Search on Bibsonomy HAIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Type-2 fuzzy inference systems, temperature modeling and control, uncertain rule-based fuzzy logic systems
54Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik An approach for adaptive DRAM temperature and power management. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power, temperature, DRAM
54Andrea Calimera, Enrico Macii, Massimo Poncino, R. Iris Bahar Temperature-insensitive synthesis using multi-vt libraries. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threshold voltage, temperature-aware, logic synthesis
54Srinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta 0001, Stephen P. Boyd, Giovanni De Micheli Temperature-aware processor frequency assignment for MPSoCs using convex optimization. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF temperature-aware, MPSoCs, convex optimization, thermal
53Yiming Zhai, S. B. Prakash, Marc H. Cohen, Pamela Abshire Detection of on-chip temperature gradient using a 1.5V low power CMOS temperature sensor. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Ravishankar Rao, Sarma B. K. Vrudhula Performance optimal processor throttling under thermal constraints. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage dependence on temperature, power, thermal management, thermal model, throttling
52Sangyoung Park, Jian-Jia Chen, Donghwa Shin, Younghyun Kim 0001, Chia-Lin Yang, Naehyuck Chang Dynamic thermal management for networked embedded systems under harsh ambient temperature variation. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF electronic control unit, embedded system, thermal management, automotive electronics
52Joseph T.-s. Tsai, Herming Chiueh High linear voltage references for on-chip CMOS smart temperature sensor from -60degreeC to 140degreeC. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Chan-Kyung Kim, Bai-Sun Kong, Chil-Gee Lee, Young-Hyun Jun CMOS temperature sensor with ring oscillator for mobile DRAM self-refresh control. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Marek Chrobak, Christoph Dürr, Mathilde Hurand, Julien Robert Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems. Search on Bibsonomy AAIM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Amir Kavousi, Mohammad Reza Meshkani Spatial Analysis of Humidity and Temperature of Iran. Search on Bibsonomy ICCSA (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Spatial Prediction, Humidity, Temperature-Humidity-Index, Temperature, Geostatistics
49Amy Germida, James F. Plusquellic Detection of CMOS Defects under Variable Processing Conditions. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-In Differential Temperature Sensors, Fault Location, Mixed-Signal Circuits, Thermal Testing, Temperature Measurements
49Josep Altet, Antonio Rubio 0001, Emmanuel Schaub, Stefan Dilhaire, Wilfrid Claeys Thermal Testing: Fault Location Strategies. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-In Differential Temperature Sensors, Fault Location, Mixed-Signal Circuits, Thermal Testing, Temperature Measurements
48Jicheng Wang, Bing Shen, James R. Roppolo, William C. de Groat, Changfeng Tai Influence of frequency and temperature on the mechanisms of nerve conduction block induced by high-frequency biphasic electrical current. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Axon, Stimulation, Model, Block, Temperature, Frequency
48Shengquan Wang, Riccardo Bettati Reactive speed control in temperature-constrained real-time systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-time, Temperature, Speed control
48David Wolpert 0001, Paul Ampadu Normal and Reverse Temperature Dependence in Variation-Tolerant Nanoscale Systems with High-k Dielectrics and Metal Gates. Search on Bibsonomy NanoNet The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reverse temperature dependence, high-k dielectric, variation-tolerant, metal gate
48Aïcha Far, Bin Guo 0011, Farid Flitti, Amine Bermak Temperature Modulation for Tin-Oxide Gas Sensors. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Temperature Modulation, tin oxide gas sensor array, olfactory systems
48Xiongfei Liao, Wu Jigang, Thambipillai Srikanthan A temperature-aware virtual submesh allocation scheme for noc-based manycore chips. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF manycore chips, submesh allocation, algorithm, noc, temperature
48Sandip Kundu, Piet Engelke, Ilia Polian, Bernd Becker 0001 On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Temperature testing, Resistive defects, Early-life failures, Low-voltage testing
48Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik Temperature-aware resource allocation and binding in high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switching, leakage, temperature, binding
48Stefanos Kaxiras, Polychronis Xekalakis 4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 4T SRAM, architecture, sensor, leakage, temperature
48Jiwei Chen, Bingxue Shi New approach to CMOS current reference with very low temperature coefficient. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF temperature coefficient, CMOS, current reference
48Karim Arabi, Bozena Kaminska Integrated Temperature Sensors for On-Line Thermal Monitoring of Microelectronic Structures. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF thermal monitoring, oscillation-test strategy, BIST, on-line testing, temperature sensor
48Jean Michel Daga, E. Ottaviano, Daniel Auvergne Temperature Effect on Delay for Low Voltage Applications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF temperature effect, derating, Low power, delay, Low voltage
47Seongmoo Heo, Kenneth C. Barr, Krste Asanovic Reducing power density through activity migration. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF activity migration, temperature reduction, thermal model
46Benjamin Carrión Schäfer, Taewhan Kim Hotspots Elimination and Temperature Flattening in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Bin Li 0018, Li-Shiuan Peh, Priyadarsan Patra Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Srinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta 0001, Stephen P. Boyd, Luca Benini, Giovanni De Micheli Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Ramkumar Jayaseelan, Tulika Mitra Temperature aware task sequencing and voltage scaling. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Chunchen Liu, Junjie Su, Yiyu Shi 0001 Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Lin Xinmei, Zhao Ziyu A Study of Transient Temperature Measuring System Based on LabVIEW for Droplets. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Ranjith Kumar, Volkan Kursun Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Minsik Cho, David Z. Pan PEAKASO: Peak-Temperature Aware Scan-Vector Optimization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Ali Manzak Temperature Aware Datapath Scheduling. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Criel Merino, M. L. Luis-Garcia, S. E. Hernandez, F. A. Martin, O. Casanova, D. Gomez, M. A. Castellano, José L. González-Mora Application of a Digital Deconvolution Technique to Brain Temperature Measurement and Its Correlation with Other Physiological Parameters. Search on Bibsonomy CBMS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Xiyuan Chen Modeling Temperature Drift of FOG by Improved BP Algorithm and by Gauss-Newton Algorithm. Search on Bibsonomy ISNN (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Ji Youn Lee, Soo-Yong Shin, Sirk June Augh, Tai Hyun Park, Byoung-Tak Zhang Temperature Gradient-Based DNA Computing for Graph Problems with Weighted Edges. Search on Bibsonomy DNA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Yi-Kan Cheng, Sung-Mo Kang Temperature-driven power and timing analysis for CMOS ULSI circuits. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Zhenyu (Peter) Gu, Yonghong Yang, Jia Wang 0003, Robert P. Dick, Li Shang TAPHS: thermal-aware unified physical-level and high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Elcio Hideiti Shiguemori, Haroldo F. de Campos Velho, José Demísio Simões da Silva Atmospheric temperature retrieval from satellite data: new non-extensive artificial neural network approach. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF temperature retrieval, neural networks, inverse problems
43Shervin Sharifi, Chunchen Liu, Tajana Simunic Rosing Accurate Temperature Estimation for Efficient Thermal Management. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Temperature Estimation, Thermal Management
43Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik A power and temperature aware DRAM architecture. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF page hit aware write buffer, power, temperature, DRAM
43Bertil Thomas, Mohsen Soleimani-Mohseni Artificial neural network models for indoor temperature prediction: investigations in two buildings. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Temperature prediction, Building automation systems, Neural networks, Identification, Model predictive control
43Ali Dasdan, Ivan Hom Handling inverted temperature dependence in static timing analysis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF timing corners, voltage dependence, Static timing analysis, temperature dependence
43Conrado Rossi, Pablo Aguirre Ultra-low power CMOS cells for temperature sensors. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF micropower, CMOS, voltage reference, temperature sensor, current reference
41Miao Ning, Jifa Gu Research on Social Stability Mechanisms Based on Activation Energy and Gradual Activation Reaction Theory. Search on Bibsonomy Complex (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Social stability, Social temperature, Activation energy of social agent, Gradual activation reaction, Mechanisms
41Weitang Song, Xiaojun Qiao A Regression Model of Dry Matter Accumulation for Solar Greenhouse Cucumber. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cucumber, dry matter accumulation, effective temperature accumulation, effective light intensity accumulation, regression model
40Ramkumar Jayaseelan, Tulika Mitra Temperature Aware Scheduling for Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Andrew Labun, Karan Jagjitkumar Rapid Detailed Temperature Estimation for Highly Coupled IC Interconnect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Weimin Hao, Xiaohui Li, Minglu Zhang Application of RBF Neural Network to Temperature Compensation of Gas Sensor. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Nikhil Bansal 0001, Tracy Kimbrel, Kirk Pruhs Speed scaling to manage energy and temperature. Search on Bibsonomy J. ACM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power management, voltage scaling, Speed scaling
40Wei Wu 0024, Lingling Jin, Jun Yang 0002, Pu Liu, Sheldon X.-D. Tan Efficient power modeling and software thermal sensing for runtime temperature monitoring. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Power, thermal
40Arunabha Sen, Nibedita Das, Ling Zhou, Bao Hong Shen, Sudheendra Murthy, Prajesh Bhattacharya Coverage Problem for Sensors Embedded in Temperature Sensitive Environments. Search on Bibsonomy SECON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Hao Yu 0001, Yu Hu 0002, Chunchen Liu, Lei He 0001 Minimal skew clock embedding considering time variant temperature gradient. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clock tree design, compact parameterization, parameterized perturbation, thermal management
40Rainer Baumann, Simon Heimlicher, Vincent Lenders, Martin May HEAT: Scalable Routing in Wireless Mesh Networks Using Temperature Fields. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Benjamin Carrión Schäfer, Yongho Lee, Taewhan Kim Temperature-Aware Compilation for VLIWProcessors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jung Hwan Choi, Jayathi Murthy, Kaushik Roy 0001 The effect of process variation on device temperature in FinFET circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Yuan Xie 0001, Wei-Lun Hung Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thermal-aware design, scheduling, embedded system design, system-on-chip design
40Ming-Yang Kao, Robert T. Schweller Reducing tile complexity for self-assembly through temperature programming. Search on Bibsonomy SODA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Ranjith Kumar, Volkan Kursun A design methodology for temperature variation insensitive low power circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Baudouin Denis de Senneville, Bruno Quesson, Pascal Desbarats, Rares Salomir, Jean Palussiere, Chrit T. W. Moonen Atlas-based motion correction for on-line mr temperature mapping. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Krishnakumar Sundaresan, Keith C. Brouse, Kongpop U-Yen, Farrokh Ayazi, Phillip E. Allen A 7-MHz process, temperature and supply compensated clock oscillator in 0.25µm CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Ching-Han Tsai, Sung-Mo Kang Macrocell placement with temperature profile optimization. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Andrea Acquaviva, Andrea Calimera, Alberto Macii, Massimo Poncino, Enrico Macii, Matteo Giaconia, Claudio Parrella An integrated thermal estimation framework for industrial embedded platforms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF system-on-chip, power, estimation, thermal
39John Kemp, Elena I. Gaura, James Brusey, C. Douglas Thake Using Body Sensor Networks for Increased Safety in Bomb Disposal Missions. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bomb disposal suits, thermal comfort models, body sensor networks
39Jun Yang 0002, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin Dynamic Thermal Management through Task Scheduling. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Prasanth Mangalagiri, Sungmin Bae, Krishnan Ramakrishnan, Yuan Xie 0001, Vijaykrishnan Narayanan Thermal-aware reliability analysis for platform FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Yu Zhong, Martin D. F. Wong Thermal-Aware IR Drop Analysis in Large Power Grid. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IR drop analysis, power grid, thermal
39Venumadhav Korampally, Shantanu Bhattacharya, Yuanfang Gao, Sheila A. Grant, Steven B. Kleiboeker, Keshab Gangopadhyay, Jinglu Tan, Shubhra Gangopadhyay Optimization of Fabrication Process for a PDMS-SOG-Silicon Based PCR Micro Chip through System Identification Techniques. Search on Bibsonomy CBMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Katie Seaborn, Alissa Nicole Antle The tiresias effect: feedforward using light versus temperature in a tangible user interface. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tangible user interfaces, temperature, feedforward
37Tao Lu, Martti Viljanen Prediction of indoor temperature and relative humidity using neural network models: model comparison. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Indoor relative humidity prediction, Indoor temperature prediction, NNARX model, Genetic algorithm, Neural networks, Model validation
37Changyin Sun, Jinya Song, Linfeng Li, Ping Ju Implementation of hybrid short-term load forecasting system with analysis of temperature sensitivities. Search on Bibsonomy Soft Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fuzzy support vector regression, Linear extrapolation, Similar day, Hybrid load forecasting, Temperature sensitivities
37Gang Quan, Yan Zhang, William Wiles, Pei Pei Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF maximal temperature, real-time scheduling, energy consumption, thermal aware
37Sherif A. Tawfik, Volkan Kursun Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-Vth, supply voltage scaling, temperature variations, clock skew, frequency scaling, dual-VDD
37Hamid Noori, Maziar Goudarzi, Koji Inoue, Kazuaki J. Murakami The effect of temperature on cache size tuning for low energy embedded systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, cache memory, low energy, leakage current, temperature-aware design
Displaying result #1 - #100 of 16443 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license