|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1559 occurrences of 1018 keywords
|
|
|
Results
Found 2128 publication records. Showing 2128 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
66 | Denis Besnard, David Greathead |
A cognitive approach to safe violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Technol. Work. ![In: Cogn. Technol. Work. 5(4), pp. 272-282, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Large-scale systems safety, Violations, Cognitive ergonomics |
65 | Salim Chowdhury, John Lillis |
Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 59-66, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion |
59 | Brandon Lucia, Luis Ceze, Karin Strauss |
ColorSafe: architectural support for debugging and dynamically avoiding multi-variable atomicity violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 222-233, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
bug avoidance, concurrency errors, data coloring, multi-variable, debugging, atomicity violations |
52 | Mohamed Ali Kâafar, Bamba Gueye, François Cantin, Guy Leduc, Laurent Mathy |
Towards a Two-Tier Internet Coordinate System to Mitigate the Impact of Triangle Inequality Violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: NETWORKING 2008, Ad Hoc and Sensor Networks, Wireless Networks, Next Generation Internet , 7th International IFIP-TC6 Networking Conference, Singapore, May 5-9, 2008, Proceedings, pp. 397-408, 2008, Springer, 978-3-540-79548-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Internet Coordinate Systems, Performance, Experimentation, Triangle Inequality Violations |
51 | Ali Dasdan |
Provably efficient algorithms for resolving temporal and spatial difference constraint violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(1), pp. 8:1-8:24, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
interface timing, layout compaction, scheduling, real-time systems, constraint satisfaction, timing constraints, Behavioral synthesis, multimedia synchronization, rate analysis |
51 | Srinivasan Seetharaman, Mostafa H. Ammar |
Characterizing and Mitigating Inter-domain Policy Violations in Overlay Routes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: Proceedings of the 14th IEEE International Conference on Network Protocols, ICNP 2006, November 12-15, 2006, Santa Barbara, California, USA, pp. 259-268, 2006, IEEE Computer Society, 1-4244-0593-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
51 | Shan Lu 0001, Joseph A. Tucek, Feng Qin, Yuanyuan Zhou 0001 |
AVIO: detecting atomicity violations via access interleaving invariants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 37-48, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
concurrent program, hardware support, concurrency bug, bug detection, program invariant, atomicity violation |
51 | Zhenmin Li, Yuanyuan Zhou |
PR-Miner: automatically extracting implicit programming rules and detecting violations in large software code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 10th European Software Engineering Conference held jointly with 13th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2005, Lisbon, Portugal, September 5-9, 2005, pp. 306-315, 2005, ACM, 1-59593-014-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
automated specification generation, automated violation detection, data mining for software engineering, programming rules, pattern recognition, static analysis |
43 | Zhifeng Lai, Shing-Chi Cheung, Wing Kwong Chan |
Detecting atomic-set serializability violations in multithreaded programs through active randomized testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (1) ![In: Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 1, ICSE 2010, Cape Town, South Africa, 1-8 May 2010, pp. 235-244, 2010, ACM, 978-1-60558-719-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
software testing, dynamic analysis, atomicity, serializability |
43 | Nicholas Kidd, Peter Lammich, Tayssir Touili, Thomas W. Reps |
A Decision Procedure for Detecting Atomicity Violations for Communicating Processes with Locks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPIN ![In: Model Checking Software, 16th International SPIN Workshop, Grenoble, France, June 26-28, 2009. Proceedings, pp. 125-142, 2009, Springer, 978-3-642-02651-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
43 | Nico Roos, Cees Witteveen |
Diagnosis of Plan Structure Violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MATES ![In: Multiagent System Technologies, 5th German Conference, MATES 2007, Leipzig, Germany, September 24-26, 2007, Proceedings, pp. 157-169, 2007, Springer, 978-3-540-74948-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Coordination errors, Model-Based Diagnosis, Plan execution |
43 | Amit Kumar 0004, Krishnendu Chakrabarty, Chunduri Rama Mohan |
An ECO Technique for Removing Crosstalk Violations in Clock Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India, pp. 283-288, 2007, IEEE Computer Society, 0-7695-2762-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
43 | Cees Witteveen, Nico Roos, Adriaan ter Mors, Xiaoyu Mao |
Diagnosis of plan step errors and plan structure violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: 6th International Joint Conference on Autonomous Agents and Multiagent Systems (AAMAS 2007), Honolulu, Hawaii, USA, May 14-18, 2007, pp. 126, 2007, IFAAMAS, 978-81-904262-7-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
planning, model-based diagnosis, plan execution |
37 | Gustavo Neuberger, Gilson I. Wirth, Ricardo Reis 0001 |
Protecting digital circuits against hold time violation due to process variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
flip-flop characterization, hold time violations, race immunity, clock skew, process variability |
37 | Matthew S. Simpson, Bhuvan Middha, Rajeev Barua |
Segment protection for embedded systems using run-time checks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2005, San Francisco, California, USA, September 24-27, 2005, pp. 66-77, 2005, ACM, 1-59593-149-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MMU, MPU, ewmbedded systems, safe languages, segment protection, segmentation violations, reliability, compilers, virtual memory, memory safety, run-time checks |
36 | Oshani Seneviratne, Lalana Kagal, Tim Berners-Lee |
Policy-Aware Content Reuse on the Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISWC ![In: The Semantic Web - ISWC 2009, 8th International Semantic Web Conference, ISWC 2009, Chantilly, VA, USA, October 25-29, 2009. Proceedings, pp. 553-568, 2009, Springer, 978-3-642-04929-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
36 | Soner Önder, Rajiv Gupta 0001 |
Dynamic Memory Disambiguation in the Presence of Out-of-Order Store Issuing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 170-176, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
36 | Azadeh Farzan, P. Madhusudan, Francesco Sorrentino 0002 |
Meta-analysis for Atomicity Violations under Nested Locking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 21st International Conference, CAV 2009, Grenoble, France, June 26 - July 2, 2009. Proceedings, pp. 248-262, 2009, Springer, 978-3-642-02657-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
36 | Chien Pang Lu, Mango Chia-Tso Chao, Chen Hsing Lo, Chih-Wei Chang |
A metal-only-ECO solver for input-slew and output-loading violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 191-198, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
input skew violation, output loading, buffer insertion, eco |
36 | Qichang Chen, Liqiang Wang, Zijiang Yang 0006, Scott D. Stoller |
HAVE: Detecting Atomicity Violations via Integrated Dynamic and Static Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FASE ![In: Fundamental Approaches to Software Engineering, 12th International Conference, FASE 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 425-439, 2009, Springer, 978-3-642-00592-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
36 | Tobias Hilbrich, Matthias S. Müller, Bettina Krammer |
Detection of Violations to the MPI Standard in Hybrid OpenMP/MPI Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOMP ![In: OpenMP in a New Era of Parallelism, 4th International Workshop, IWOMP 2008, West Lafayette, IN, USA, May 12-14, 2008, Proceedings, pp. 26-35, 2008, Springer, 978-3-540-79560-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Armin Hornung, Dapeng Zhang 0002 |
On-Line Detection of Rule Violations in Table Soccer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KI ![In: KI 2008: Advances in Artificial Intelligence, 31st Annual German Conference on AI, KI 2008, Kaiserslautern, Germany, September 23-26, 2008. Proceedings, pp. 217-224, 2008, Springer, 978-3-540-85844-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Norman Bobroff, Andrzej Kochut, Kirk A. Beaty |
Dynamic Placement of Virtual Machines for Managing SLA Violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integrated Network Management ![In: Integrated Network Management, IM 2007. 10th IFIP/IEEE International Symposium on Integrated Network Management, Munich, Germany, 21-25 May 2007, pp. 119-128, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Nathan G. Freier |
Children distinguish conventional from moral violations in interactions with a personified agent. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2007 Conference on Human Factors in Computing Systems, CHI 2007, San Jose, California, USA, April 28 - May 3, 2007, pp. 2195-2200, 2007, ACM, 978-1-59593-642-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
personified software agent, user-centered design, children, social computing, moral development |
36 | A. Murali M. Rao |
Policy Specification and Enforcement for Detection of Security Violations in a Mail Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIT ![In: 9th International Conference in Information Technology, ICIT 2006, Bhubaneswar, Orissa, India, 18-21 December 2006, pp. 172-175, 2006, IEEE Computer Society, 0-7695-2635-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Santonu Sarkar, Girish Maskeri Rama, Shubha Ramachandran |
A Method for Detecting and Measuring Architectural Layering Violations in Source Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 13th Asia-Pacific Software Engineering Conference (APSEC 2006), 6-8 December 2006, Bangalore, India, pp. 165-172, 2006, IEEE Computer Society, 0-7695-2685-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Jimin Gao, Mats Per Erik Heimdahl, David Owen 0002, Tim Menzies |
On the Distribution of Property Violations in Formal Models: An Initial Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (1) ![In: 30th Annual International Computer Software and Applications Conference, COMPSAC 2006, Chicago, Illinois, USA, September 17-21, 2006. Volume 1, pp. 150-160, 2006, IEEE Computer Society, 0-7695-2655-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Urs Hengartner, Peter Steenkiste |
Avoiding Privacy Violations Caused by Context-Sensitive Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PerCom ![In: 4th IEEE International Conference on Pervasive Computing and Communications (PerCom 2006), 13-17 March 2006, Pisa, Italy, pp. 222-233, 2006, IEEE Computer Society, 0-7695-2518-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Pramod Ramarao, Akhilesh Tyagi, Gyungho Lee |
Run-Time Support for Detection of Memory Access Violations to Prevent Buffer Overflow Exploits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISC ![In: Information Security, 6th International Conference, ISC 2003, Bristol, UK, October 1-3, 2003, Proceedings, pp. 366-380, 2003, Springer, 3-540-20176-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
30 | François Cantin, Bamba Gueye, Mohamed Ali Kâafar, Guy Leduc |
A Self-Organized Clustering Scheme for Overlay Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOS ![In: Self-Organizing Systems, Third International Workshop, IWSOS 2008, Vienna, Austria, December 10-12, 2008. Proceedings, pp. 59-70, 2008, Springer, 978-3-540-92156-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Clustering, Performance, ICS, Triangle Inequality Violations |
30 | Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee |
Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 786-791, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances |
30 | Calvin Ko, Manfred Ruschitzka, Karl N. Levitt |
Execution Monitoring of Security-Critical Programs in Distributed Systems: A Specification-Based Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
S&P ![In: 1997 IEEE Symposium on Security and Privacy, May 4-7, 1997, Oakland, CA, USA, pp. 175-187, 1997, IEEE Computer Society, 0-8186-7828-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
security-critical program execution monitoring, specification-based approach, program vulnerabilities, security specifications, real-time intrusion detection system, Unix setuid root programs, system attack detection, security violations, distributed systems, synchronization, system monitoring, audit trails, formal framework |
30 | John A. Davidson |
Asymmetric Isolation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSAC ![In: 12th Annual Computer Security Applications Conference (ACSAC 1996), 9-13 December 1996, San Diego, CA, USA, pp. 44-54, 1996, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
asymmetric isolation, unidirectional security, risk-free multi-level security, absolute security rule enforcement, security violations, multi-level communication handshaking, multiple single-level nodes, unidirectional links, hardware-enforced security, performance, cost, security of data, legacy systems, mandatory access control, downgrading |
30 | Alois Ferscha, Johannes Lüthi |
Estimating rollback overhead for optimism control in Time Warp. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 28st Annual Simulation Symposium (SS '95), April 25-28, 1995, Santa Barbara, California, USA, pp. 2-12, 1995, IEEE Computer Society, 0-8186-7091-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
rollback overhead, adaptive optimism control mechanism, performance pitfall, Time Warp distributed discrete event simulation protocol, DDES protocol, overoptimistic progression, event execution, simulated future, premature event execution, causality violations, performance inefficiencies, observed model parallelism, local virtual time, LVT progression per unit CPU time, arriving messages, simulation engine, optimal CPU delay interval, rollback probability, synchronization behavior, iPSC/860, protocols, virtual machines, discrete event simulation, adaptive systems, optimal control, cost model, distributed memory multiprocessor, time warp simulation, logical processes |
30 | Che-Fn Yu, Virgil D. Gligor |
A Specification and Verification Method for Preventing Denial of Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(6), pp. 581-592, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
failure absence, integrity violations, user agreements, simultaneity conditions, denial-of-service prevention, formal specification, concurrent programs, security of data, specification method, verification method |
30 | Chii-Ren Tsai, Virgil D. Gligor, C. Sekar Chandersekaran |
On the Identification of Covert Storage Channels in Secure Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(6), pp. 569-580, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
covert storage channels, Secure Xenix kernel, visible/alterable kernel variables, language code, sharing relationships, nondiscretionary access rules, false flow violations, kernel locations, audit code, time-delay variables, covert-channel handling, software engineering, identification, security of data, source code, operating systems (computers), secure systems, information-flow analysis, implementation languages |
29 | Cristian Lumezanu, Randolph Baden, Neil Spring, Bobby Bhattacharjee |
Triangle inequality variations in the internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Measurement Conference ![In: Proceedings of the 9th ACM SIGCOMM Internet Measurement Conference, IMC 2009, Chicago, Illinois, USA, November 4-6, 2009, pp. 177-183, 2009, ACM, 978-1-60558-771-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
tiv, latency, variation, triangle inequality violation |
29 | Suad Alagic, Mark Royer |
Genericity in Java: persistent and database systems implications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 17(4), pp. 847-878, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Java, Reflection, Transactions, Object-oriented databases, Type systems, Virtual platforms, Object persistence |
29 | Shrirang K. Karandikar, Charles J. Alpert, Mehmet Can Yildiz, Paul Villarrubia, Stephen T. Quay, T. Mahmud |
Fast Electrical Correction Using Resizing and Buffering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 553-558, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
electrical violation eliminator, fast electrical correction, electrical state |
29 | Kendra M. L. Cooper, João W. Cangussu, W. Eric Wong |
An Architectural Framework for the Design and Analysis of Autonomous Adaptive Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (1) ![In: 31st Annual International Computer Software and Applications Conference, COMPSAC 2007, Beijing, China, July 24-27, 2007. Volume 1, pp. 268-278, 2007, IEEE Computer Society, 978-0-7695-2870-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Femke de Jonge, Nico Roos, Huib Aldewereld |
Using DESs for Temporal Diagnosis of Multi-agent Plan Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MATES ![In: Multiagent System Technologies, 5th German Conference, MATES 2007, Leipzig, Germany, September 24-26, 2007, Proceedings, pp. 61-72, 2007, Springer, 978-3-540-74948-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Hoesung Ki, Seong-Jin Ahn 0001 |
Study on developing a security violation response checklist for the improvement of internet security management systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUE ![In: 2007 International Conference on Multimedia and Ubiquitous Engineering (MUE 2007), 26-28 April 2007, Seoul, Korea, pp. 1199-1204, 2007, IEEE Computer Society, 978-0-7695-2777-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Mini Nanua, David T. Blaauw |
Receiver Modeling for Static Functional Crosstalk Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, PATMOS 2006, Montpellier, France, September 13-15, 2006, Proceedings, pp. 329-339, 2006, Springer, 3-540-39094-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Shyam K. Gupta 0001, Vikram Goyal, Anand Gupta |
Malafide Intension Based Detection of Privacy Violation in Information System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICISS ![In: Information Systems Security, Second International Conference, ICISS 2006, Kolkata, India, December 19-21, 2006, Proceedings, pp. 365-368, 2006, Springer, 3-540-68962-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Robert J. Turetsky, X. Halkias |
Determining shot assonance/dissonance via salience maps and the match frame principle of continuity editing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MMM ![In: 12th International Conference on Multi Media Modeling (MMM 2006), 4-6 January 2006, Beijing, China, 2006, IEEE, 1-4244-0028-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | N. V. Arvind, K. A. Rajagopal, H. S. Ajith, Das Suparna |
Path Based Approach for Crosstalk Delay Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 727-730, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Mirjana Mazuran, Elisa Quintarelli, Rosalba Rossato, Letizia Tanca |
Mining Violations to Relax Relational Database Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DaWaK ![In: Data Warehousing and Knowledge Discovery, 11th International Conference, DaWaK 2009, Linz, Austria, August 31 - September 2, 2009, Proceedings, pp. 339-353, 2009, Springer, 978-3-642-03729-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Azadeh Farzan, P. Madhusudan |
The Complexity of Predicting Atomicity Violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 15th International Conference, TACAS 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 155-169, 2009, Springer, 978-3-642-00767-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Cathal Boogerd, Leon Moonen |
Evaluating the relation between coding standard violations and faultswithin and across software versions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSR ![In: Proceedings of the 6th International Working Conference on Mining Software Repositories, MSR 2009 (Co-located with ICSE), Vancouver, BC, Canada, May 16-17, 2009, Proceedings, pp. 41-50, 2009, IEEE Computer Society, 978-1-4244-3493-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Lijun Yu, Robert B. France, Indrakshi Ray, Sudipto Ghosh |
A Rigorous Approach to Uncovering Security Policy Violations in UML Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 14th IEEE International Conference on Engineering of Complex Computer Systems, ICECCS 2009, Potsdam, Germany, 2-4 June 2009, pp. 126-135, 2009, IEEE Computer Society, 978-0-7695-3702-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Chikmagalur Manjappa Prashanth, K. Chandrashekar Shet, Janees Elamkulam |
Verification Framework for Detecting Safety Violations in UML Statecharts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008, pp. 849-854, 2008, IEEE Computer Society, 978-0-7695-3136-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Model Checking, Verification, UML Statecharts |
29 | Lifeng Zhou, Lei Chen 0009, Hung Keng Pung, Lek Heng Ngoh |
End-to-end diagnosis of QoS violations with neural network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: LCN 2008, The 33rd IEEE Conference on Local Computer Networks, The Conference on Leading Edge and Practical Computer Networking, Hyatt Regency Montreal, Montreal, Quebec, Canada, 14-17 October 2008, Proceedings, pp. 530-531, 2008, IEEE Computer Society, 978-1-4244-2412-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Ozgur Sinanoglu, Philip Schremmer |
Diagnosis, modeling and tolerance of scan chain hold-time violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 516-521, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Yuting Chen, Shaoying Liu, Fumiko Nagoya |
A Review Approach to Detecting Structural Consistency Violations in Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIS-ICIS ![In: 4th Annual ACIS International Conference on Computer and Information Science (ICIS 2005), 14-16 July 2005, Jeju Island, South Korea, pp. 61-66, 2005, IEEE Computer Society, 0-7695-2296-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Sachin Shrivastava, Dhanoop Varghese, Vikas Narang, N. V. Arvind |
Improved Approach for Noise Propagation to Identify Functional Noise Violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 705-708, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Philippe Polet, Frédéric Vanderhaegen, Peter A. Wieringa |
Theory of Safety-Related Violations of System Barriers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Technol. Work. ![In: Cogn. Technol. Work. 4(3), pp. 171-179, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Barrier crossing, Hazardous conditions, Human factors, Risk analysis, Violation |
29 | Dae-Woon Lim, Sung-Hoon Choi, Joon-Suk Jun |
Automated Detection of All Kinds of Violations at a Street Intersection Using Real Time Individual Vehicle Tracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSIAI ![In: 5th IEEE Southwest Symposium on Image Analysis and Interpretation, SSIAI 2002, Santa Fe, New Mexico, USA, April 7-9, 2002, pp. 126-129, 2002, IEEE Computer Society, 0-7695-1537-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Tracking, Traffic, Enforcement |
29 | Jean-Charles Régin, Thierry Petit, Christian Bessière, Jean-Francois Puget |
New Lower Bounds of Constraint Violations for Over-Constrained Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2001, 7th International Conference, CP 2001, Paphos, Cyprus, November 26 - December 1, 2001, Proceedings, pp. 332-345, 2001, Springer, 3-540-42863-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Thierry Petit, Jean-Charles Régin, Christian Bessière |
Meta-constraints on violations for over constrained problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 12th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2000), 13-15 November 2000, Vancouver, BC, Canada, pp. 358-365, 2000, IEEE Computer Society, 0-7695-0909-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
meta constraints, over constrained problems, Max-CSP, real-life problems, constraint programming, constraint handling, communicating sequential processes, real-world problems |
29 | Gene M. Alarcon, August A. Capiola, Justin Morgan, Izz Aldin Hamdan, Michael Lee |
Trust Violations in Human-Human and Human-Robot Interactions: The Influence of Ability, Benevolence and Integrity Violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 55th Hawaii International Conference on System Sciences, HICSS 2022, Virtual Event / Maui, Hawaii, USA, January 4-7, 2022, pp. 1-10, 2022, ScholarSpace. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
29 | Sara H. S. Almadi |
Toward Investigating the Violations Roles of Pattern Grime Occurrence in Software Design Patterns: Violations Roles of Pattern Grime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EASE ![In: EASE 2022: The International Conference on Evaluation and Assessment in Software Engineering 2022, Gothenburg, Sweden, June 13 - 15, 2022, pp. 336-341, 2022, ACM, 978-1-4503-9613-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
29 | Florian Hantke, Ben Stock |
HTML violations and where to find them: a longitudinal analysis of specification violations in HTML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMC ![In: Proceedings of the 22nd ACM Internet Measurement Conference, IMC 2022, Nice, France, October 25-27, 2022, pp. 358-373, 2022, ACM, 978-1-4503-9259-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
29 | Seto Herwandito, Pawito, Prahastiwi Utari, Sri Hastjarjo |
Expectancy Violations on Startup-Unicorn in Indonesia: Study about Expectancy Violations from Millennial Generation on Tokopedia E-Commerce. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EBEE ![In: EBEE 2019: International Conference on E-Business and E-commerce Engineering, Bali Island, Indonesia, December, 2019, pp. 29-34, 2019, ACM, 978-1-4503-7672-3. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
29 | Jeffrey D. Wall, Paul Benjamin Lowry, Jordan B. Barlow |
Organizational Violations of Externally Governed Privacy and Security Rules: Explaining and Predicting Selective Violations under Conditions of Strain and Excess. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Assoc. Inf. Syst. ![In: J. Assoc. Inf. Syst. 17(1), pp. 4, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
29 | Mariem Graa |
Hybrid code analysis to detect confidentiality violations in android system. (Analyse hybride du code pour détecter les violations de la confidentialité dans le système android). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
29 | Koen Buyens |
Analyzing Software Architectures for Least Privilege Violations (Het identificeren van schendingen tegen het principe van minst privilege in software architecturen) ; Analyzing Software Architectures for Least Privilege Violations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2012 |
RDF |
|
23 | Guohui Wang, Bo Zhang 0073, T. S. Eugene Ng |
Towards network triangle inequality violation aware distributed systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Measurement Conference ![In: Proceedings of the 7th ACM SIGCOMM Internet Measurement Conference, IMC 2007, San Diego, California, USA, October 24-26, 2007, pp. 175-188, 2007, ACM, 978-1-59593-908-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
internet delay space, distributed system, analysis, triangle inequality violations, neighbor selection |
23 | Hamdy S. Soliman, Mohammed Omari |
Application of synchronous dynamic encryption system in mobile wireless domains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Q2SWinet ![In: Q2SWinet'05 - Proceedings of the First ACM Workshop on Q2S and Security for Wireless and Mobile Networks, Montreal, Quebec, Canada, October 13, 2005, pp. 24-30, 2005, ACM, 1-59593-241-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
dynamic encryption, flexible integrity, integrity violations, mobile network security, permutation vectors, seamless handover |
23 | Dzintars Avots, Michael Dalton, V. Benjamin Livshits, Monica S. Lam |
Improving software security with a C pointer analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 27th International Conference on Software Engineering (ICSE 2005), 15-21 May 2005, St. Louis, Missouri, USA, pp. 332-341, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
format string violations, security aws, program analysis, programming languages, error detection, dynamic analysis, vulnerabilities, buffer overflows, software security, pointer analysis, context-sensitive, type safety, software errors |
23 | Horst F. Wedde, Mario Lischka |
Modular authorization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SACMAT ![In: 6th ACM Symposium on Access Control Models and Technologies, SACMAT 2001, Litton-TASC, Chantilly, Virginia, USA, May 3-4, 2001, pp. 97-105, 2001, ACM, 1-58113-350-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
modularity, authorization, role-based access control, conflicts, composability, violations |
23 | Susan Darling Urban, Lois M. L. Delcambre |
Constraint Analysis: A Design Process for Specifying Operations on Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 2(4), pp. 391-400, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
object-oriented database design environment, object-oriented database schema, flexible specification, propagation actions, constraint violations, explicit schema constraints, automatic identification, formal specification, semantics, object-oriented programming, object-oriented databases, design process, design process, formal logic, object manipulation, design alternatives, constraint analysis, Horn logic, formal representation, database constraints |
22 | Gareth Beddoe, Sanja Petrovic, Jingpeng Li 0001 |
A hybrid metaheuristic case-based reasoning system for nurse rostering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sched. ![In: J. Sched. 12(2), pp. 99-119, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Nurse rostering, Learning from failure, Case-based reasoning, Memetic algorithm, Personnel scheduling |
22 | Travis D. Breaux, Jonathan D. Lewis, Paul N. Otto, Annie I. Antón |
Identifying vulnerabilities and critical requirements using criminal court proceedings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 355-359, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Ahmed Awad 0001, Sergey Smirnov 0002, Mathias Weske |
Resolution of Compliance Violation in Business Process Models: A Planning-Based Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Conferences (1) ![In: On the Move to Meaningful Internet Systems: OTM 2009, Confederated International Conferences, CoopIS, DOA, IS, and ODBASE 2009, Vilamoura, Portugal, November 1-6, 2009, Proceedings, Part I, pp. 6-23, 2009, Springer, 978-3-642-05147-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
process model parsing, process model restructuring, Business process modeling, compliance checking |
22 | Sampson Pun, Ken Barker 0001 |
Privacy FP-Tree. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA Workshops ![In: Database Systems for Advanced Applications, DASFAA 2009 International Workshops: BenchmarX, MCIS, WDPP, PPDA, MBC, PhD, Brisbane, Australia, April 20-23, 2009, pp. 246-260, 2009, Springer, 978-3-642-04204-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Anonymized privacy, Privacy, Database, FP-Tree, Personalized privacy |
22 | Loreto Bravo, Wenfei Fan, Floris Geerts, Shuai Ma 0001 |
Increasing the Expressivity of Conditional Functional Dependencies without Extra Complexity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 24th International Conference on Data Engineering, ICDE 2008, April 7-12, 2008, Cancún, Mexico, pp. 516-525, 2008, IEEE Computer Society, 978-1-4244-1836-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Bogdan D. Czejdo, Tadeusz Morzy |
Knowledge, Knowledge Security, and Meta-knowledge. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSKS (2) ![In: The Open Knowlege Society. A Computer Science and Information Systems Manifesto, First World Summit on the Knowledge Society, WSKS 2008, Athens, Greece, September 24-26, 2008. Proceedings, pp. 245-252, 2008, Springer, 978-3-540-87782-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Knowledge Security, Meta-Knowledge, Knowledge Representation |
22 | Chang-Seo Park, Koushik Sen |
Randomized active atomicity violation detection in concurrent programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2008, Atlanta, Georgia, USA, November 9-14, 2008, pp. 135-145, 2008, ACM, 978-1-59593-995-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
atomicity violation detection, concurrency, dynamic analysis, random testing |
22 | Thorsten van Ellen, Wilhelm Hasselbring |
Extended exceptions for contingencies and their implications for the engineering process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Exception Handling ![In: Proceedings of the 4th International Workshop on Exception Handling, WEH 2008, Atlanta, Georgia, USA, November 14, 2008, pp. 16-23, 2008, ACM, 978-1-60558-229-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
forward recovery, resumption, reliability, exception, contingency |
22 | Eric Bodden, Patrick Lam 0001, Laurie J. Hendren |
Finding programming errors earlier by evaluating runtime monitors ahead-of-time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2008, Atlanta, Georgia, USA, November 9-14, 2008, pp. 36-47, 2008, ACM, 978-1-59593-995-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
machine learning, static analysis, runtime verification, points-to analysis, static verification |
22 | K. V. M. Naidu, Debmalya Panigrahi, Rajeev Rastogi |
Detecting Anomalies Using End-to-End Path Measurements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2008. 27th IEEE International Conference on Computer Communications, Joint Conference of the IEEE Computer and Communications Societies, 13-18 April 2008, Phoenix, AZ, USA, pp. 1849-1857, 2008, IEEE, 978-1-4244-2026-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Gustavo Neuberger, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001, Gilson I. Wirth, Ralf Brederlow, Christian Pacha |
Statistical analysis of systematic and random variability of flip-flop race immunity in 130nm and 90nm CMOS technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: IFIP VLSI-SoC 2007, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Atlanta, GA, USA, 15-17 October 2007, pp. 78-83, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Howard Foster, Wolfgang Emmerich, Jeff Kramer, Jeff Magee, David S. Rosenblum, Sebastián Uchitel |
Model checking service compositions under resource constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 6th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2007, Dubrovnik, Croatia, September 3-7, 2007, pp. 225-234, 2007, ACM, 978-1-59593-811-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
web services, validation, BPEL4WS, resource modelling |
22 | Antonia Bertolino, Guglielmo De Angelis, Antonino Sabetta, Sebastian G. Elbaum |
Scaling up SLA monitoring in pervasive environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSPE ![In: Proceedings of the 2007 International Workshop on Engineering of Software Services for Pervasive Environments, ESSPE 2007, Dubrovnik, Croatia, September 4, 2007, pp. 65-68, 2007, ACM, 978-1-59593-798-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Murali Krishna Ramanathan, Ananth Grama, Suresh Jagannathan |
Path-Sensitive Inference of Function Precedence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 29th International Conference on Software Engineering (ICSE 2007), Minneapolis, MN, USA, May 20-26, 2007, pp. 240-250, 2007, IEEE Computer Society, 0-7695-2828-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Qi Gao 0004, Feng Qin, Dhabaleswar K. Panda 0001 |
DMTracker: finding bugs in large-scale parallel programs by detecting anomaly in data movements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA, pp. 15, 2007, ACM Press, 978-1-59593-764-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
parallel programs, anomaly detection, data movements, bug detection |
22 | Liqiang Wang, Scott D. Stoller |
Runtime Analysis of Atomicity for Multithreaded Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 32(2), pp. 93-110, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Java, Concurrent programming, atomicity, data race, testing and debugging |
22 | Shyam K. Gupta 0001, Vikram Goyal, Bholi Patra, Sankalp Dubey, Anand Gupta |
Design and Development of Malafide Intension Based Privacy Violation Detection System (An Ongoing Research Report). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICISS ![In: Information Systems Security, Second International Conference, ICISS 2006, Kolkata, India, December 19-21, 2006, Proceedings, pp. 369-372, 2006, Springer, 3-540-68962-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Koushik Sen, Abhay Vardhan, Gul Agha, Grigore Rosu |
Decentralized runtime analysis of multithreaded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Westley Weimer |
Patches as better bug reports. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPCE ![In: Generative Programming and Component Engineering, 5th International Conference, GPCE 2006, Portland, Oregon, USA, October 22-26, 2006, Proceedings, pp. 181-190, 2006, ACM, 1-59593-237-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
localization, error, explanation, patch, bug, counterexample, bug report |
22 | Cecilia Metra, Martin Omaña 0001, Daniele Rossi 0001, José Manuel Cazeaux, T. M. Mak |
Path (Min) Delay Faults and Their Impact on Self-Checking Circuits' Operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 10-12 July 2006, Como, Italy, pp. 17-22, 2006, IEEE Computer Society, 0-7695-2620-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Dawn J. Lawrie, Henry Feild, David W. Binkley |
Syntactic Identifier Conciseness and Consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCAM ![In: Sixth IEEE International Workshop on Source Code Analysis and Manipulation (SCAM 2006), 27-29 September 2006, Philadelphia, Pennsylvania, USA, pp. 139-148, 2006, IEEE Computer Society, 0-7695-2353-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Identifier Quality, Part-of-speech |
22 | Andrew Le Gear, Jim Buckley |
Exercising control over the design of evolving software systems using an inverse application of reflexion modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 2006 conference of the Centre for Advanced Studies on Collaborative Research, October 16-19, 2006, Toronto, Ontario, Canada, pp. 376, 2006, IBM. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Rahul Agarwal, Amit Sasturkar, Liqiang Wang, Scott D. Stoller |
Optimized run-time race detection and atomicity checking using partial discovered types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 20th IEEE/ACM International Conference on Automated Software Engineering (ASE 2005), November 7-11, 2005, Long Beach, CA, USA, pp. 233-242, 2005, ACM, 1-58113-993-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
performance, type system, atomicity, data races |
22 | Raymond R.-F. Liao, Andrew T. Campbell |
Dynamic core provisioning for quantitative differentiated services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 12(3), pp. 429-442, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
capacity dimension, point-to-multipoint congestion, virtual queue, service differentiation |
22 | Alexey Glebov, Sergey Gavrilov, R. Soloviev, Vladimir Zolotov, Murat R. Becer, Chanhee Oh, Rajendran Panda |
Delay noise pessimism reduction by logic correlations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 160-167, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Malú Castellanos, Fabio Casati, Umeshwar Dayal, Ming-Chien Shan |
Intelligent Management of SLAs for Composite Web Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DNIS ![In: Databases in Networked Information Systems, Third International Workshop, DNIS 2003, Aizu, Japan, September 22-24, 2003, Proceedings, pp. 158-171, 2003, Springer, 3-540-20111-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Jlifi Boutheina, Khaled Ghédira |
On the Enhancement of the Informed Backtracking Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2003, 9th International Conference, CP 2003, Kinsale, Ireland, September 29 - October 3, 2003, Proceedings, pp. 967, 2003, Springer, 3-540-20202-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Shuo Chen 0001, Jun Xu 0003, Ravishankar K. Iyer, Keith Whisnant |
Evaluating the Security Threat of Firewall Data Corruption Caused by Transient Errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2002 International Conference on Dependable Systems and Networks (DSN 2002), 23-26 June 2002, Bethesda, MD, USA, Proceedings, pp. 495-504, 2002, IEEE Computer Society, 0-7695-1597-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Sethavidh Gertphol, Yang Yu 0009, Shriram B. Gundala, Viktor K. Prasanna, Shoukat Ali, Jong-Kook Kim, Anthony A. Maciejewski, Howard Jay Siegel |
A Metric and Mixed-Integer-Programming-Based Approach for Resource Allocation in Dynamic Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 2128 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|