The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for write with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1966 (15) 1967-1975 (18) 1976-1979 (17) 1980-1981 (21) 1982 (15) 1983-1984 (29) 1985 (20) 1986 (16) 1987 (34) 1988 (65) 1989 (58) 1990 (60) 1991 (47) 1992 (52) 1993 (66) 1994 (82) 1995 (71) 1996 (75) 1997 (70) 1998 (88) 1999 (130) 2000 (156) 2001 (163) 2002 (217) 2003 (221) 2004 (294) 2005 (309) 2006 (406) 2007 (423) 2008 (427) 2009 (354) 2010 (169) 2011 (95) 2012 (112) 2013 (101) 2014 (152) 2015 (163) 2016 (171) 2017 (151) 2018 (153) 2019 (172) 2020 (132) 2021 (144) 2022 (118) 2023 (154) 2024 (42)
Publication types (Num. hits)
article(1612) book(15) incollection(17) inproceedings(4388) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5334 occurrences of 2591 keywords

Results
Found 6048 publication records. Showing 6048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
115Toshihide Ibaraki, Tiko Kameda, Naoki Katoh Multiversion Cautious Schedulers for Database Concurrency Control. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF read/write steps, multiversion cautious scheduler, incoming sequence, read sets, write sets, MWW, MWRW, multiversion classes, write-write, write-read-write constraints, cancellation anomaly, predeclared steps, read operations, scheduling, concurrency control, database management systems, transactions, transaction processing, polynomial time, logs, rollbacks, reordering, MC, database concurrency control
114Farnaz Mounes-Toussi, David J. Lilja Write buffer design for cache-coherent shared-memory multiprocessors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies
104Sangyeun Cho, Hyunjin Lee Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory write performance, phase-change memory
88Soontae Kim, Jongmin Lee 0002 Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power, data cache, write buffer
78Amer Diwan, David Tarditi, J. Eliot B. Moss Memory System Performance of Programs with Intensive Heap Allocation Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer
76Scott D. Carson, Sanjeev Setia Analysis of the Periodic Update Write Policy For Disk Cache. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF periodic update write policy, average access time, dirty cache blocks, disk read requests, cache-hit ratio, competing cache write policies, bulk arrivals, traffic jam effect, degraded service, write packages, scheduling, file systems, storage management, buffer storage, storage allocation, disk scheduling, data storage, computer systems, disk cache, design criteria, average response time
72Sandeep S. Kulkarni, Umamaheswaran Arumugam Transformations for Write-All-with-Collision Model. Search on Bibsonomy OPODIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Model conversions, Preserving stabilization, Atomicity refinement, Write-all-with-collision model, Read/Write model
72Cristiana Amza, Alan L. Cox, Sandhya Dwarkadas, Willy Zwaenepoel Software DSM Protocols that Adapt between Single Writer and Multiple Writer. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
71Bo Li 0009, Panyong Zhang, Zhigang Huo, Dan Meng Early Experiences with Write-Write Design of NFS over RDMA. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
70Bogdan S. Chlebus, Dariusz R. Kowalski Cooperative asynchronous update of shared memory. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF problem Write-All, read and write register, work efficiency, distributed algorithm, expander, asynchrony, disperser
64Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
64Karen Zee, Martin C. Rinard Write barrier removal by static analysis. Search on Bibsonomy OOPSLA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF program analysis, pointer analysis, write barriers, generational garbage collection
60Toshihide Ibaraki, Tiko Kameda, Naoki Katoh Cautious Transaction Schedulers for Database Concurrency Control. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF cautious schedulers, WW, write-write constraints, WRW, WW-scheduler, polynomial-time scheduling algorithm, read sets, write sets, scheduling, computational complexity, computational complexity, distributed databases, distributed databases, NP-complete, database theory, transaction schedulers, database concurrency control
60Prabuddha Biswas, K. K. Ramakrishnan Trace Driven Analysis of Write Caching Policies for Disks. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
59Xiao-Yu Hu, Evangelos Eleftheriou, Robert Haas 0001, Ilias Iliadis, Roman A. Pletka Write amplification analysis in flash-based solid state drives. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF solid state storage systems, write amplification, flash memory, solid state drives
59Lu Jun, Xianliang Lu, Han Hong, Qingsong Wei A cooperative asynchronous write mechanism for NAS. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance, cache, cooperation, asynchronous, write, NAS
58Kjetil NørvÃ¥g, Kjell Bratbergsengen Write Optimized Object-Oriented Database Systems. Search on Bibsonomy SCCC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF write optimized object-oriented database systems, disk write operations, Vagabond, supercomputing applications, data structures, data structures, geographical information systems
56Jun Wang 0001, Yiming Hu A Novel Reordering Write Buffer to Improve Write Performance of Log-Structured File Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write performance, file systems, storage systems, Log-structured File Systems
55Yiming Hu, Tycho Nightingale, Qing Yang 0001 RAPID-Cache-A Reliable and Inexpensive Write Cache for High Performance Storage Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault-tolerance, performance, reliability, storage systems, disks
55Julio Sahuquillo, Ana Pont Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
55Kevin Skadron, Douglas W. Clark Design Issues and Tradeoffs for Write Buffers. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
54Dushyanth Narayanan, Austin Donnelly, Antony I. T. Rowstron Write off-loading: Practical power management for enterprise storage. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DiskEnergy, disk spin-down, enterprise storage, write off-loading, power, energy
54Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin An SRAM Design-for-Diagnosis Solution Based on Write Driver Voltage Sensing. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF write driver, design-for-diagnosis, diagnosis, SRAM
54Lisa Higham, LillAnne Jackson, Jalal Kawash Specifying memory consistency of write buffer multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha
54Afzal Malik, Bill Moyer, Roger Zhou Embedded cache architecture with programmable write buffer support for power and performance flexibility. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer
53Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John The virtual write queue: coordinating DRAM and last-level cache policies. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache
52Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Un-Restored Destructive Write Faults Due to Resistive-Open Defects in the Write Driver of SRAMs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Weiwu Hu, Weisong Shi, Zhimin Tang Write Detection in Home-Based Software DSMs. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
49Da Zhou, Xiaofeng Meng 0001 RS-Wrapper: random write optimization for solid state drive. Search on Bibsonomy CIKM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF random write, database, parallelism, flash memory
49Martin T. Vechev, David F. Bacon Write barrier elision for concurrent garbage collectors. Search on Bibsonomy ISMM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF write barrier, concurrent garbage collection
49Bogdan S. Chlebus, Stefan Dobrev, Dariusz R. Kowalski, Grzegorz Malewicz, Alexander A. Shvartsman, Imrich Vrto Towards practical deteministic write-all algorithms. Search on Bibsonomy SPAA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Write-All, contention of permutations, scheduling, parallel algorithms, work
47Wei-keng Liao, Avery Ching, Kenin Coloma, Alok N. Choudhary, Mahmut T. Kandemir Improving MPI Independent Write Performance Using A Two-Stage Write-Behind Buffering Method. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Hagit Attiya, Faith Ellen Fich, Yaniv Kaplan Lower bounds for adaptive collect and related objects. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF exclusive-write registers, sensitive objects, solo termination, weak test&set, adaptivity, contention, collect
46Wen-Tzeng Huang, C. T. Chen, Chin-Hsing Chen, C. C. Cheng Energy-Efficient Buffer Architecture for Flash Memory. Search on Bibsonomy MUE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FAB, Grey decision, read/write buffer architecture, optimal-read only-write buffer architecture, flash memory, LRU
46Brajendra Panda, Kazi Asharful Haque Extended data dependency approach: a robust way of rebuilding database. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF actual-read/write, clean-write, overlooked-read/write, predicate-read, data dependency
45Yiming Hu, Qing Yang 0001, Tycho Nightingale RAPID-Cache - A Reliable and Inexpensive Write Cache for Disk I/O Systems. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Weiwu Hu, Weisong Shi, Zhimin Tang Adaptive Write Detection in Home-based Software DSMs. Search on Bibsonomy HPDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Soma Chaudhuri, Martha J. Kosa, Jennifer L. Welch Upper and lower bounds for one-write multivalued regular registers. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
44Kimish Patel, Wonbok Lee, Massoud Pedram Minimizing power dissipation during write operation to register files. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF write operation, power, register file
44Wei-keng Liao, Kenin Coloma, Alok N. Choudhary, Lee Ward Cooperative Write-Behind Data Buffering for MPI I/O. Search on Bibsonomy PVM/MPI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Write behind, file consistency, data buffering, I/O thread, MPI I/O
44Nam Sung Kim, Trevor N. Mudge Reducing register ports using delayed write-back queues and operand pre-fetch. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
44Karen Zee, Martin C. Rinard Write barrier removal by static analysis. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2002 DBLP  DOI  BibTeX  RDF program analysis, pointer analysis, write barriers, generational garbage collection
44Timothy P. Mann, Andrew Birrell, Andy Hisgen, Charles Jerian, Garret Swart A Coherent Distributed File Cache with Directory Write-Behind. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF write-behind, coherence, file caching
43Prasad Jayanti A Complete and Constant Time Wait-Free Implementation of CAS from LL/SC and Vice Versa. Search on Bibsonomy DISC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
42Keejong Kim, Hamid Mahmoodi, Kaushik Roy 0001 A low-power SRAM using bit-line charge-recycling technique. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF write margin, write power, low power, process variation, SRAM, charge-recycling
41Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse
40Wei Xu 0021, Jibang Liu, Tong Zhang 0002 Data manipulation techniques to reduce phase change memory write energy. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, phase change memory
40Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin A Design-for-Diagnosis Technique for SRAM Write Drivers. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Zhikun Wang, Dan Feng 0001, Ke Zhou 0001, Fang Wang 0001 PCOW: Pipelining-Based COW Snapshot Method to Decrease First Write Penalty. Search on Bibsonomy GPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Slow write driver faults in 65nm SRAM technology: analysis and March test solution. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Yuui Shimizu, Hisanori Aikawa, Keiji Hosotani, Naoharu Shimomura, Tadashi Kai, Yoshihiro Ueda, Yoshiaki Asao, Yoshihisa Iwata, Kenji Tsuchida, Sumio Ikegawa MRAM Write Error Categorization with QCKB. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Seung-Ho Lim, Sung Hoon Baek, Joo Young Hwang, Kyu Ho Park Write Back Routine for JFFS2 Efficient I/O. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40André Seznec, Eric Toullec, Olivier Rochecouste Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Youngjae Lee, Jin-Soo Kim 0001, Seungryoul Maeng ReSSD: a software layer for resuscitating SSDs from poor small random write performance. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF small random write, NAND flash memory, solid state drive
40Damien Imbs, Michel Raynal Help When Needed, But No More: Efficient Read/Write Partial Snapshot. Search on Bibsonomy DISC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LL/SC atomic registers, Partial snapshot, Read/Write atomic register, Concurrency, Locality, Efficiency, Atomicity, Adaptive algorithm, Asynchrony, Process crash, Linearizability, Wait-free algorithm, Asynchronous shared memory system
40Vineet Chadha, Renato J. O. Figueiredo ROW-FS: A User-Level Virtualized Redirect-on-Write Distributed File System for Wide Area Applications. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Redirect-on-write, Grid Computing, Distributed Computing, Virtual Machine, Virtualization, File System
40Stephen M. Blackburn, Kathryn S. McKinley In or out?: putting write barriers in their place. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF copying collection, generational collection, Java, write barriers
38Mohamed Faouzi Atig, Ahmed Bouajjani, Sebastian Burckhardt, Madanlal Musuvathi On the verification problem for weak memory models. Search on Bibsonomy POPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF lossy channel systems, program verification, infinite state systems, relaxed memory models
38James Aspnes, Hagit Attiya, Keren Censor Max registers, counters, and monotone circuits. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF max registers, distributed computing, shared memory, counters, monotone circuits
38Nam Sung Kim, Trevor N. Mudge The microarchitecture of a low power register file. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
37Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri Combinable memory-block transactions. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory-block transactions, priority write, read-modify-write, shared memory, transactional memory, queue, contention, combining, stack, linearizability, semaphore
37James H. Anderson, Philip Holman Efficient pure-buffer algorithms for real-time systems. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficient pure-buffer algorithms, multiprocessor real-time systems, multi-writer read/write pure-buffers, overwritten data, client processes, handshaking mechanisms, concurrent read/write operations safety, quantum-scheduled systems, priority-scheduled systems, buffer word length, real-time systems, computational complexity, distributed algorithms, safety, multiprocessing systems, time complexity, processor scheduling, optimized algorithms, buffer storage, space complexity, wait-free algorithms, shared buffers, processor number
37Weiwu Hu, Peisu Xia Event Ordering Condition for Correct Executions in Shared-Memory Systems. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF write atomic write nonatomic, correct execution, shared-memory multiprocessor, sequential consistency, event ordering
37Jason Gait Optimizing Unix Database File Operations. Search on Bibsonomy IEEE Softw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Unix database file operations optimization, Ratfiles, Rational File System, Unix kernel, atomic write, write-order discipline, data integrity, data integrity, optimisation, database management systems, Unix
37Daniel M. Dias, Balakrishna R. Iyer, John T. Robinson, Philip S. Yu Integrated Concurrency-Coherency Controls for Multisystem Data Sharing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF read-write synchronisation, multisystem data sharing, data sharing system structure, shared intermediate memory, early commit processing, write-serialization, integrated concurrency protocol, integrated concurrency-coherency control protocol, performance evaluation, performance analysis, protocols, distributed databases, concurrency control, queueing theory, buffering, buffer storage, queueing model
36Akihiko Tozawa, Michiaki Tatsubori, Tamiya Onodera, Yasuhiko Minamide Copy-on-write in the PHP language. Search on Bibsonomy POPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF php, graph rewriting
36Yi Ge, Chen Wang, Xiaowei Shen, Honesty Young A database scale-out solution for emerging write-intensive commercial workloads. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Paul Beame, Dang-Trinh Huynh-Ngoc On the Value of Multiple Read/Write Streams for Approximating Frequency Moments. Search on Bibsonomy FOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Liqun Cheng, John B. Carter Extending CC-NUMA systems to support write update optimizations. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Byung-Do Yang, Jae-Eun Lee, Jang-Su Kim, Junghyun Cho, Seung-Yun Lee, Byoung-Gon Yu A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Eli Gafni Read-Write Reductions. Search on Bibsonomy ICDCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Jan Philipp Thoma, Tim Güneysu Write Me and I'll Tell You Secrets - Write-After-Write Effects On Intel CPUs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Jan Philipp Thoma, Tim Güneysu Write Me and I'll Tell You Secrets - Write-After-Write Effects On Intel CPUs. Search on Bibsonomy RAID The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
35Philip Yaffe First write like you speak, then write like you write. Search on Bibsonomy Ubiquity The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
35Hiroki Noguchi, Kazutaka Ikegami, Satoshi Takaya, Eishi Arima, Keiichi Kushida, Atsushi Kawasumi, Hiroyuki Hara, Keiko Abe, Naoharu Shimomura, Junichi Ito, Shinobu Fujita, Takashi Nakada, Hiroshi Nakamura 7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme. Search on Bibsonomy ISSCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
35Chien-Yu Lu, Ching-Te Chuang, Shyh-Jye Jou, Ming-Hsien Tu, Ya-Ping Wu, Chung-Ping Huang, Paul-Sen Kan, Huan-Shun Huang, Kuen-Di Lee, Yung-Shin Kao A 0.325 V, 600-kHz, 40-nm 72-kb 9T Subthreshold SRAM with Aligned Boosted Write Wordline and Negative Write Bitline Write-Assist. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
35Dao-Ping Wang, Hon-Jarn Lin, Ching-Te Chuang, Wei Hwang Low-Power Multiport SRAM With Cross-Point Write Word-Lines, Shared Write Bit-Lines, and Shared Write Row-Access Transistors. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
35Bastien Giraud, Amara Amara Read Stability and Write Ability Tradeoff for 6T SRAM Cells in Double-Gate CMOS. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SRAM cell, Double Gate (DG), Static Noise Margin (SNM), Write Margin (WM)
35James R. Lyle, Steven Mead, Kelsey Rider Disk Drive I/O Commands and Write Blocking. (PDF / PS) Search on Bibsonomy IFIP Int. Conf. Digital Forensics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF forensic tool testing, write blockers, Data acquisition
35Chryssis Georgiou, Nicolas C. Nicolaou, Alexander A. Shvartsman Fault-tolerant semifast implementations of atomic read/write registers. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF communication rounds, read/write registers, fault-tolerance, distributed algorithms, atomicity
35Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu A Log-Based Write-Back Mechanism for Cooperative Caching. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching
35Grzegorz Malewicz A work-optimal deterministic algorithm for the asynchronous certified write-all problem. Search on Bibsonomy PODC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF design and analysis of parallel algorithms, write-all
35Yen-Jen Chang, Chia-Lin Yang, Feipei Lai A power-aware SWDR cell for reducing cache write power. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF circuit-level, write power, low power, cache, SRAM
35Jan Friso Groote, Wim H. Hesselink, Sjouke Mauw, Rogier Vermeulen An algorithm for the asynchronous Write-All problem based on process collision. Search on Bibsonomy Distributed Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Write-all problem, Distributed algorithms, Dynamic load balancing, PRAM, Wait-free, Work complexity
35Patrick M. Lenders Distributed Computing with Single Read-Single Write Variables. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF single read-single write variables, free, wait state, SRSW variables, regular sequential language, SRSW data type, parallel programs, distributed computing, distributed processing, message passing, operational semantics, asynchronous communication, syntax, busy, synchronous communication
34Robert Law Using student blogs for documentation in software development projects. Search on Bibsonomy ITiCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Takeshi Sakurada, Yoichi Hagiwara, Hideto Oda, Masaki Nakagawa A Japanese Text Input Interface Using On-Line Writing-Box-Free Handwriting Recognition and Kana-to-Kanji Conversion. Search on Bibsonomy HCI (8) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF free-format handwriting recognition, Kana-to-Kanji conversation, pen interface
34Hyunyoung Lee, Jennifer L. Welch Specification, implementation and application of randomized regular registers (brief announcement). Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Sarita V. Adve, Alan L. Cox, Sandhya Dwarkadas, Ramakrishnan Rajamony, Willy Zwaenepoel A Comparison of Entry Consistency and Lazy Release Consistency Implementations. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel computation, shared memory, performance measurement, networks of workstations, consistency models
34Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell The Rio File Cache: Surviving Operating System Crashes. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Toshihide Ibaraki, Tiko Kameda, Toshimi Minoura Serializability with Constraints. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
33Ting-Lu Huang Fast Mutual Exclusion Algorithms Using Read-Modify-Write and Atomic Read/Write Registers. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Rolf Hoffmann The GCA-w Massively Parallel Model. Search on Bibsonomy PaCT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Massively Parallel Model, Global Cellular Automata, GCA with Write Access, Dynamic Neighborhood, Dynamic Cell Activation, GCA-w Applications, GCA-w Architecture
33Jongmin Lee 0002, Soontae Kim An energy-delay efficient 2-level data cache architecture for embedded system. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2-level data cache, early cache hit predictor, one-way write
33Dariusz R. Kowalski, Alexander A. Shvartsman Writing-all deterministically and optimally using a nontrivial number of asynchronous processors. Search on Bibsonomy ACM Trans. Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Write-All, distributed algorithms, shared memory, Asynchrony, work
33Sylvain Stanchina, Matthias Meyer Exploiting the efficiency of generational algorithms for hardware-supported real-time garbage collection. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF object-based processor architecture, write barrier, real-time garbage collection, generational garbage collection
33Dean Hildebrand, Lee Ward, Peter Honeyman Large files, small writes, and pNFS. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF NFSv4, pNFS, small write performance improvement, parallel I/O, distributed file system, parallel file system
33Dariusz R. Kowalski, Alexander A. Shvartsman Writing-all deterministically and optimally using a non-trivial number of asynchronous processors. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF write-all, distributed algorithms, shared memory, asynchrony, work
33Dan Liu, Xinsong Liu, Zhijie Qiu, Gongjun Yan A High Efficiency Distributed Mutual Exclusion Algorithm. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF read/write clock stamp, self-stability, distributed mutual exclusion
33Wee Teck Ng, Peter M. Chen The Systematic Improvement of Fault Tolerance in the Rio File Cache. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF write-back file cache, reliable memory, software fault injection
Displaying result #1 - #100 of 6048 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license