|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5334 occurrences of 2591 keywords
|
|
|
Results
Found 6048 publication records. Showing 6048 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
115 | Toshihide Ibaraki, Tiko Kameda, Naoki Katoh |
Multiversion Cautious Schedulers for Database Concurrency Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(3), pp. 302-315, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
read/write steps, multiversion cautious scheduler, incoming sequence, read sets, write sets, MWW, MWRW, multiversion classes, write-write, write-read-write constraints, cancellation anomaly, predeclared steps, read operations, scheduling, concurrency control, database management systems, transactions, transaction processing, polynomial time, logs, rollbacks, reordering, MC, database concurrency control |
114 | Farnaz Mounes-Toussi, David J. Lilja |
Write buffer design for cache-coherent shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 506-511, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies |
104 | Sangyeun Cho, Hyunjin Lee |
Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 347-357, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
memory write performance, phase-change memory |
88 | Soontae Kim, Jongmin Lee 0002 |
Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 257-262, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
low power, data cache, write buffer |
78 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(3), pp. 244-273, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
76 | Scott D. Carson, Sanjeev Setia |
Analysis of the Periodic Update Write Policy For Disk Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 18(1), pp. 44-54, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
periodic update write policy, average access time, dirty cache blocks, disk read requests, cache-hit ratio, competing cache write policies, bulk arrivals, traffic jam effect, degraded service, write packages, scheduling, file systems, storage management, buffer storage, storage allocation, disk scheduling, data storage, computer systems, disk cache, design criteria, average response time |
72 | Sandeep S. Kulkarni, Umamaheswaran Arumugam |
Transformations for Write-All-with-Collision Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OPODIS ![In: Principles of Distributed Systems, 7th International Conference, OPODIS 2003 La Martinique, French West Indies, December 10-13, 2003 Revised Selected Papers, pp. 184-197, 2003, Springer, 3-540-22667-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Model conversions, Preserving stabilization, Atomicity refinement, Write-all-with-collision model, Read/Write model |
72 | Cristiana Amza, Alan L. Cox, Sandhya Dwarkadas, Willy Zwaenepoel |
Software DSM Protocols that Adapt between Single Writer and Multiple Writer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 261-271, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
71 | Bo Li 0009, Panyong Zhang, Zhigang Huo, Dan Meng |
Early Experiences with Write-Write Design of NFS over RDMA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: International Conference on Networking, Architecture, and Storage, NAS 2009, 9-11 July 2009, Zhang Jia Jie, Hunan, China, pp. 303-308, 2009, IEEE Computer Society, 978-0-7695-3741-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
70 | Bogdan S. Chlebus, Dariusz R. Kowalski |
Cooperative asynchronous update of shared memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings of the 37th Annual ACM Symposium on Theory of Computing, Baltimore, MD, USA, May 22-24, 2005, pp. 733-739, 2005, ACM, 1-58113-960-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
problem Write-All, read and write register, work efficiency, distributed algorithm, expander, asynchrony, disperser |
64 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 839-844, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
64 | Karen Zee, Martin C. Rinard |
Write barrier removal by static analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Object-Oriented Programming Systems, Languages and Applications, OOPSLA 2002, Seattle, Washington, USA, November 4-8, 2002., pp. 191-210, 2002, ACM, 1-58113-471-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
program analysis, pointer analysis, write barriers, generational garbage collection |
60 | Toshihide Ibaraki, Tiko Kameda, Naoki Katoh |
Cautious Transaction Schedulers for Database Concurrency Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 14(7), pp. 997-1009, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
cautious schedulers, WW, write-write constraints, WRW, WW-scheduler, polynomial-time scheduling algorithm, read sets, write sets, scheduling, computational complexity, computational complexity, distributed databases, distributed databases, NP-complete, database theory, transaction schedulers, database concurrency control |
60 | Prabuddha Biswas, K. K. Ramakrishnan |
Trace Driven Analysis of Write Caching Policies for Disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993, pp. 13-23, 1993, ACM, 0-89791-580-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
59 | Xiao-Yu Hu, Evangelos Eleftheriou, Robert Haas 0001, Ilias Iliadis, Roman A. Pletka |
Write amplification analysis in flash-based solid state drives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SYSTOR ![In: Proceedings of of SYSTOR 2009: The Israeli Experimental Systems Conference 2009, Haifa, Israel, May 4-6, 2009, pp. 10, 2009, ACM, 978-1-60558-623-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
solid state storage systems, write amplification, flash memory, solid state drives |
59 | Lu Jun, Xianliang Lu, Han Hong, Qingsong Wei |
A cooperative asynchronous write mechanism for NAS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 36(3), pp. 25-32, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
performance, cache, cooperation, asynchronous, write, NAS |
58 | Kjetil Nørvåg, Kjell Bratbergsengen |
Write Optimized Object-Oriented Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCCC ![In: Proceedings of 17th International Conference of the Chilean Computer Science Society (SCCC '97), November 12-14, 1997, Valpariso, Chile, pp. 164-173, 1997, IEEE Computer Society, 0-8186-8052-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
write optimized object-oriented database systems, disk write operations, Vagabond, supercomputing applications, data structures, data structures, geographical information systems |
56 | Jun Wang 0001, Yiming Hu |
A Novel Reordering Write Buffer to Improve Write Performance of Log-Structured File Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(12), pp. 1559-1572, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
write performance, file systems, storage systems, Log-structured File Systems |
55 | Yiming Hu, Tycho Nightingale, Qing Yang 0001 |
RAPID-Cache-A Reliable and Inexpensive Write Cache for High Performance Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(3), pp. 290-307, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
fault-tolerance, performance, reliability, storage systems, disks |
55 | Julio Sahuquillo, Ana Pont |
Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 24th EUROMICRO '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden, pp. 10333-10336, 1998, IEEE Computer Society, 0-8186-8646-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
55 | Kevin Skadron, Douglas W. Clark |
Design Issues and Tradeoffs for Write Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 144-155, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
54 | Dushyanth Narayanan, Austin Donnelly, Antony I. T. Rowstron |
Write off-loading: Practical power management for enterprise storage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 4(3), pp. 10:1-10:23, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
DiskEnergy, disk spin-down, enterprise storage, write off-loading, power, energy |
54 | Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin |
An SRAM Design-for-Diagnosis Solution Based on Write Driver Voltage Sensing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 26th IEEE VLSI Test Symposium (VTS 2008), April 27 - May 1, 2008, San Diego, California, USA, pp. 89-94, 2008, IEEE Computer Society, 978-0-7695-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
write driver, design-for-diagnosis, diagnosis, SRAM |
54 | Lisa Higham, LillAnne Jackson, Jalal Kawash |
Specifying memory consistency of write buffer multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 25(1), pp. 1, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha |
54 | Afzal Malik, Bill Moyer, Roger Zhou |
Embedded cache architecture with programmable write buffer support for power and performance flexibility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 98-107, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer |
53 | Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John |
The virtual write queue: coordinating DRAM and last-level cache policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 72-82, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache |
52 | Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian |
Un-Restored Destructive Write Faults Due to Resistive-Open Defects in the Write Driver of SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 25th IEEE VLSI Test Symposium (VTS 2007), 6-10 May 2007, Berkeley, California, USA, pp. 361-368, 2007, IEEE Computer Society, 0-7695-2812-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Weiwu Hu, Weisong Shi, Zhimin Tang |
Write Detection in Home-Based Software DSMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 909-913, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
49 | Da Zhou, Xiaofeng Meng 0001 |
RS-Wrapper: random write optimization for solid state drive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 18th ACM Conference on Information and Knowledge Management, CIKM 2009, Hong Kong, China, November 2-6, 2009, pp. 1457-1460, 2009, ACM, 978-1-60558-512-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
random write, database, parallelism, flash memory |
49 | Martin T. Vechev, David F. Bacon |
Write barrier elision for concurrent garbage collectors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 4th International Symposium on Memory Management, ISMM 2004, Vancouver, BC, Canada, October 24-25, 2004, pp. 13-24, 2004, ACM, 1-58113-945-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
write barrier, concurrent garbage collection |
49 | Bogdan S. Chlebus, Stefan Dobrev, Dariusz R. Kowalski, Grzegorz Malewicz, Alexander A. Shvartsman, Imrich Vrto |
Towards practical deteministic write-all algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the Thirteenth Annual ACM Symposium on Parallel Algorithms and Architectures, SPAA 2001, Heraklion, Crete Island, Greece, July 4-6, 2001, pp. 271-280, 2001, ACM, 1-58113-409-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Write-All, contention of permutations, scheduling, parallel algorithms, work |
47 | Wei-keng Liao, Avery Ching, Kenin Coloma, Alok N. Choudhary, Mahmut T. Kandemir |
Improving MPI Independent Write Performance Using A Two-Stage Write-Behind Buffering Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-6, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Hagit Attiya, Faith Ellen Fich, Yaniv Kaplan |
Lower bounds for adaptive collect and related objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Third Annual ACM Symposium on Principles of Distributed Computing, PODC 2004, St. John's, Newfoundland, Canada, July 25-28, 2004, pp. 60-69, 2004, ACM, 1-58113-802-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
exclusive-write registers, sensitive objects, solo termination, weak test&set, adaptivity, contention, collect |
46 | Wen-Tzeng Huang, C. T. Chen, Chin-Hsing Chen, C. C. Cheng |
Energy-Efficient Buffer Architecture for Flash Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUE ![In: 2008 International Conference on Multimedia and Ubiquitous Engineering (MUE 2008), 24-26 April 2008, Busan, Korea, pp. 543-546, 2008, IEEE Computer Society, 978-0-7695-3134-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FAB, Grey decision, read/write buffer architecture, optimal-read only-write buffer architecture, flash memory, LRU |
46 | Brajendra Panda, Kazi Asharful Haque |
Extended data dependency approach: a robust way of rebuilding database. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), March 10-14, 2002, Madrid, Spain, pp. 446-452, 2002, ACM, 1-58113-445-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
actual-read/write, clean-write, overlooked-read/write, predicate-read, data dependency |
45 | Yiming Hu, Qing Yang 0001, Tycho Nightingale |
RAPID-Cache - A Reliable and Inexpensive Write Cache for Disk I/O Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 204-213, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
45 | Weiwu Hu, Weisong Shi, Zhimin Tang |
Adaptive Write Detection in Home-based Software DSMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the Eighth IEEE International Symposium on High Performance Distributed Computing, HPDC'99, Redondo Beach, California, USA, August 3-6, 1999., pp. 353-354, 1999, IEEE Computer Society, 0-7695-0287-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
45 | Soma Chaudhuri, Martha J. Kosa, Jennifer L. Welch |
Upper and lower bounds for one-write multivalued regular registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, SPDP 1991, 2-5 December 1991, Dallas, Texas, USA, pp. 134-141, 1991, IEEE Computer Society, 0-8186-2310-1. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
44 | Kimish Patel, Wonbok Lee, Massoud Pedram |
Minimizing power dissipation during write operation to register files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 183-188, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
write operation, power, register file |
44 | Wei-keng Liao, Kenin Coloma, Alok N. Choudhary, Lee Ward |
Cooperative Write-Behind Data Buffering for MPI I/O. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 12th European PVM/MPI Users' Group Meeting, Sorrento, Italy, September 18-21, 2005, Proceedings, pp. 102-109, 2005, Springer, 3-540-29009-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Write behind, file consistency, data buffering, I/O thread, MPI I/O |
44 | Nam Sung Kim, Trevor N. Mudge |
Reducing register ports using delayed write-back queues and operand pre-fetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 172-182, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
44 | Karen Zee, Martin C. Rinard |
Write barrier removal by static analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 37(4), pp. 32-41, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
program analysis, pointer analysis, write barriers, generational garbage collection |
44 | Timothy P. Mann, Andrew Birrell, Andy Hisgen, Charles Jerian, Garret Swart |
A Coherent Distributed File Cache with Directory Write-Behind. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 12(2), pp. 123-164, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
write-behind, coherence, file caching |
43 | Prasad Jayanti |
A Complete and Constant Time Wait-Free Implementation of CAS from LL/SC and Vice Versa. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 12th International Symposium, DISC '98, Andros, Greece, September 24-26, 1998, Proceedings, pp. 216-230, 1998, Springer, 3-540-65066-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
42 | Keejong Kim, Hamid Mahmoodi, Kaushik Roy 0001 |
A low-power SRAM using bit-line charge-recycling technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 177-182, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
write margin, write power, low power, process variation, SRAM, charge-recycling |
41 | Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu |
Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(8), pp. 1143-1153, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse |
40 | Wei Xu 0021, Jibang Liu, Tong Zhang 0002 |
Data manipulation techniques to reduce phase change memory write energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 237-242, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
low power, phase change memory |
40 | Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin |
A Design-for-Diagnosis Technique for SRAM Write Drivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1480-1485, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Zhikun Wang, Dan Feng 0001, Ke Zhou 0001, Fang Wang 0001 |
PCOW: Pipelining-Based COW Snapshot Method to Decrease First Write Penalty. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPC ![In: Advances in Grid and Pervasive Computing, Third International Conference, GPC 2008, Kunming, China, May 25-28, 2008. Proceedings, pp. 266-274, 2008, Springer, 978-3-540-68081-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian |
Slow write driver faults in 65nm SRAM technology: analysis and March test solution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 528-533, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Yuui Shimizu, Hisanori Aikawa, Keiji Hosotani, Naoharu Shimomura, Tadashi Kai, Yoshihiro Ueda, Yoshiaki Asao, Yoshihisa Iwata, Kenji Tsuchida, Sumio Ikegawa |
MRAM Write Error Categorization with QCKB. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTDT ![In: 14th IEEE International Workshop on Memory Technology, Design, and Testing (MTDT 2006), 2-4 August 2006, Taipei, Taiwan, pp. 43-48, 2006, IEEE Computer Society, 0-7695-2572-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Seung-Ho Lim, Sung Hoon Baek, Joo Young Hwang, Kyu Ho Park |
Write Back Routine for JFFS2 Efficient I/O. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2006, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 795-804, 2006, Springer, 3-540-36679-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | André Seznec, Eric Toullec, Olivier Rochecouste |
Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002, pp. 383-394, 2002, ACM/IEEE Computer Society, 0-7695-1859-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Youngjae Lee, Jin-Soo Kim 0001, Seungryoul Maeng |
ReSSD: a software layer for resuscitating SSDs from poor small random write performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 242-243, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
small random write, NAND flash memory, solid state drive |
40 | Damien Imbs, Michel Raynal |
Help When Needed, But No More: Efficient Read/Write Partial Snapshot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 23rd International Symposium, DISC 2009, Elche, Spain, September 23-25, 2009. Proceedings, pp. 142-156, 2009, Springer, 978-3-642-04354-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
LL/SC atomic registers, Partial snapshot, Read/Write atomic register, Concurrency, Locality, Efficiency, Atomicity, Adaptive algorithm, Asynchrony, Process crash, Linearizability, Wait-free algorithm, Asynchronous shared memory system |
40 | Vineet Chadha, Renato J. O. Figueiredo |
ROW-FS: A User-Level Virtualized Redirect-on-Write Distributed File System for Wide Area Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2007, 14th International Conference, Goa, India, December 18-21, 2007, Proceedings, pp. 21-34, 2007, Springer, 978-3-540-77219-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Redirect-on-write, Grid Computing, Distributed Computing, Virtual Machine, Virtualization, File System |
40 | Stephen M. Blackburn, Kathryn S. McKinley |
In or out?: putting write barriers in their place. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSP/ISMM ![In: Proceedings of The Workshop on Memory Systems Performance (MSP 2002), June 16, 2002 and The International Symposium on Memory Management (ISMM 2002), June 20-21, 2002, Berlin, Germany, pp. 281-290, 2002, ACM, 1-58113-539-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
copying collection, generational collection, Java, write barriers |
38 | Mohamed Faouzi Atig, Ahmed Bouajjani, Sebastian Burckhardt, Madanlal Musuvathi |
On the verification problem for weak memory models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 37th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2010, Madrid, Spain, January 17-23, 2010, pp. 7-18, 2010, ACM, 978-1-60558-479-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
lossy channel systems, program verification, infinite state systems, relaxed memory models |
38 | James Aspnes, Hagit Attiya, Keren Censor |
Max registers, counters, and monotone circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the 28th Annual ACM Symposium on Principles of Distributed Computing, PODC 2009, Calgary, Alberta, Canada, August 10-12, 2009, pp. 36-45, 2009, ACM, 978-1-60558-396-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
max registers, distributed computing, shared memory, counters, monotone circuits |
38 | Nam Sung Kim, Trevor N. Mudge |
The microarchitecture of a low power register file. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 384-389, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
37 | Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri |
Combinable memory-block transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Munich, Germany, June 14-16, 2008, pp. 23-34, 2008, ACM, 978-1-59593-973-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
memory-block transactions, priority write, read-modify-write, shared memory, transactional memory, queue, contention, combining, stack, linearizability, semaphore |
37 | James H. Anderson, Philip Holman |
Efficient pure-buffer algorithms for real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 57-64, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
efficient pure-buffer algorithms, multiprocessor real-time systems, multi-writer read/write pure-buffers, overwritten data, client processes, handshaking mechanisms, concurrent read/write operations safety, quantum-scheduled systems, priority-scheduled systems, buffer word length, real-time systems, computational complexity, distributed algorithms, safety, multiprocessing systems, time complexity, processor scheduling, optimized algorithms, buffer storage, space complexity, wait-free algorithms, shared buffers, processor number |
37 | Weiwu Hu, Peisu Xia |
Event Ordering Condition for Correct Executions in Shared-Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1996 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '96), June 12-14, 1996, Beijing, China, pp. 84-89, 1996, IEEE Computer Society, 0-8186-7460-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
write atomic write nonatomic, correct execution, shared-memory multiprocessor, sequential consistency, event ordering |
37 | Jason Gait |
Optimizing Unix Database File Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Softw. ![In: IEEE Softw. 11(3), pp. 48-56, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Unix database file operations optimization, Ratfiles, Rational File System, Unix kernel, atomic write, write-order discipline, data integrity, data integrity, optimisation, database management systems, Unix |
37 | Daniel M. Dias, Balakrishna R. Iyer, John T. Robinson, Philip S. Yu |
Integrated Concurrency-Coherency Controls for Multisystem Data Sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(4), pp. 437-448, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
read-write synchronisation, multisystem data sharing, data sharing system structure, shared intermediate memory, early commit processing, write-serialization, integrated concurrency protocol, integrated concurrency-coherency control protocol, performance evaluation, performance analysis, protocols, distributed databases, concurrency control, queueing theory, buffering, buffer storage, queueing model |
36 | Akihiko Tozawa, Michiaki Tatsubori, Tamiya Onodera, Yasuhiko Minamide |
Copy-on-write in the PHP language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 36th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2009, Savannah, GA, USA, January 21-23, 2009, pp. 200-212, 2009, ACM, 978-1-60558-379-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
php, graph rewriting |
36 | Yi Ge, Chen Wang, Xiaowei Shen, Honesty Young |
A database scale-out solution for emerging write-intensive commercial workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 42(1), pp. 102-103, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Paul Beame, Dang-Trinh Huynh-Ngoc |
On the Value of Multiple Read/Write Streams for Approximating Frequency Moments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOCS ![In: 49th Annual IEEE Symposium on Foundations of Computer Science, FOCS 2008, October 25-28, 2008, Philadelphia, PA, USA, pp. 499-508, 2008, IEEE Computer Society, 978-0-7695-3436-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Liqun Cheng, John B. Carter |
Extending CC-NUMA systems to support write update optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2008, November 15-21, 2008, Austin, Texas, USA, pp. 30, 2008, IEEE/ACM, 978-1-4244-2835-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Byung-Do Yang, Jae-Eun Lee, Jang-Su Kim, Junghyun Cho, Seung-Yun Lee, Byoung-Gon Yu |
A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 3014-3017, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Eli Gafni |
Read-Write Reductions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCN ![In: Distributed Computing and Networking, 8th International Conference, ICDCN 2006, Guwahati, India, December 27-30, 2006., pp. 349-354, 2006, Springer, 3-540-68139-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Jan Philipp Thoma, Tim Güneysu |
Write Me and I'll Tell You Secrets - Write-After-Write Effects On Intel CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2209.01894, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Jan Philipp Thoma, Tim Güneysu |
Write Me and I'll Tell You Secrets - Write-After-Write Effects On Intel CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAID ![In: 25th International Symposium on Research in Attacks, Intrusions and Defenses, RAID 2022, Limassol, Cyprus, October 26-28, 2022, pp. 72-85, 2022, ACM, 978-1-4503-9704-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Philip Yaffe |
First write like you speak, then write like you write. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ubiquity ![In: Ubiquity 2018(April), pp. 1:1-1:13, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
35 | Hiroki Noguchi, Kazutaka Ikegami, Satoshi Takaya, Eishi Arima, Keiichi Kushida, Atsushi Kawasumi, Hiroyuki Hara, Keiko Abe, Naoharu Shimomura, Junichi Ito, Shinobu Fujita, Takashi Nakada, Hiroshi Nakamura |
7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2016 IEEE International Solid-State Circuits Conference, ISSCC 2016, San Francisco, CA, USA, January 31 - February 4, 2016, pp. 132-133, 2016, IEEE, 978-1-4673-9466-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
35 | Chien-Yu Lu, Ching-Te Chuang, Shyh-Jye Jou, Ming-Hsien Tu, Ya-Ping Wu, Chung-Ping Huang, Paul-Sen Kan, Huan-Shun Huang, Kuen-Di Lee, Yung-Shin Kao |
A 0.325 V, 600-kHz, 40-nm 72-kb 9T Subthreshold SRAM with Aligned Boosted Write Wordline and Negative Write Bitline Write-Assist. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 23(5), pp. 958-962, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
35 | Dao-Ping Wang, Hon-Jarn Lin, Ching-Te Chuang, Wei Hwang |
Low-Power Multiport SRAM With Cross-Point Write Word-Lines, Shared Write Bit-Lines, and Shared Write Row-Access Transistors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. II Express Briefs ![In: IEEE Trans. Circuits Syst. II Express Briefs 61-II(3), pp. 188-192, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
35 | Bastien Giraud, Amara Amara |
Read Stability and Write Ability Tradeoff for 6T SRAM Cells in Double-Gate CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 4th IEEE International Symposium on Electronic Design, Test and Applications, DELTA 2008, Hong Kong, January 23-25, 2008, pp. 201-204, 2008, IEEE Computer Society, 978-0-7695-3110-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
SRAM cell, Double Gate (DG), Static Noise Margin (SNM), Write Margin (WM) |
35 | James R. Lyle, Steven Mead, Kelsey Rider |
Disk Drive I/O Commands and Write Blocking. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP Int. Conf. Digital Forensics ![In: Advances in Digital Forensics III - IFIP International Conference on Digital Forensics, National Centre for Forensic Science, Orlando, Florida, USA, January 28-31, 2007, pp. 163-177, 2007, Springer, 978-0-387-73741-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
forensic tool testing, write blockers, Data acquisition |
35 | Chryssis Georgiou, Nicolas C. Nicolaou, Alexander A. Shvartsman |
Fault-tolerant semifast implementations of atomic read/write registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006, pp. 281-290, 2006, ACM, 1-59593-452-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
communication rounds, read/write registers, fault-tolerance, distributed algorithms, atomicity |
35 | Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu |
A Log-Based Write-Back Mechanism for Cooperative Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 61, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching |
35 | Grzegorz Malewicz |
A work-optimal deterministic algorithm for the asynchronous certified write-all problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Second ACM Symposium on Principles of Distributed Computing, PODC 2003, Boston, Massachusetts, USA, July 13-16, 2003, pp. 255-264, 2003, ACM, 1-58113-708-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
design and analysis of parallel algorithms, write-all |
35 | Yen-Jen Chang, Chia-Lin Yang, Feipei Lai |
A power-aware SWDR cell for reducing cache write power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 14-17, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
circuit-level, write power, low power, cache, SRAM |
35 | Jan Friso Groote, Wim H. Hesselink, Sjouke Mauw, Rogier Vermeulen |
An algorithm for the asynchronous Write-All problem based on process collision. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Comput. ![In: Distributed Comput. 14(2), pp. 75-81, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Write-all problem, Distributed algorithms, Dynamic load balancing, PRAM, Wait-free, Work complexity |
35 | Patrick M. Lenders |
Distributed Computing with Single Read-Single Write Variables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(5), pp. 569-574, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
single read-single write variables, free, wait state, SRSW variables, regular sequential language, SRSW data type, parallel programs, distributed computing, distributed processing, message passing, operational semantics, asynchronous communication, syntax, busy, synchronous communication |
34 | Robert Law |
Using student blogs for documentation in software development projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITiCSE ![In: Proceedings of the 16th Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 2011, Darmstadt, Germany, June 27-29, 2011, pp. 366, 2011, ACM, 978-1-4503-0697-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
34 | Takeshi Sakurada, Yoichi Hagiwara, Hideto Oda, Masaki Nakagawa |
A Japanese Text Input Interface Using On-Line Writing-Box-Free Handwriting Recognition and Kana-to-Kanji Conversion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (8) ![In: Human Interface and the Management of Information. Methods, Techniques and Tools in Information Design, Symposium on Human Interface 2007, Held as Part of HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings Part I, pp. 940-949, 2007, Springer, 978-3-540-73344-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
free-format handwriting recognition, Kana-to-Kanji conversation, pen interface |
34 | Hyunyoung Lee, Jennifer L. Welch |
Specification, implementation and application of randomized regular registers (brief announcement). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Nineteenth Annual ACM Symposium on Principles of Distributed Computing, July 16-19, 2000, Portland, Oregon, USA., pp. 338, 2000, ACM, 1-58113-183-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
34 | Sarita V. Adve, Alan L. Cox, Sandhya Dwarkadas, Ramakrishnan Rajamony, Willy Zwaenepoel |
A Comparison of Entry Consistency and Lazy Release Consistency Implementations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 26-37, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
parallel computation, shared memory, performance measurement, networks of workstations, consistency models |
34 | Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell |
The Rio File Cache: Surviving Operating System Crashes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 74-83, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
34 | Toshihide Ibaraki, Tiko Kameda, Toshimi Minoura |
Serializability with Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 12(3), pp. 429-452, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
33 | Ting-Lu Huang |
Fast Mutual Exclusion Algorithms Using Read-Modify-Write and Atomic Read/Write Registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: International Conference on Parallel and Distributed Systems, ICPADS '98, Tainan, Taiwan, December 14-16, 1998, pp. 292-299, 1998, IEEE Computer Society, 0-8186-8603-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
33 | Rolf Hoffmann |
The GCA-w Massively Parallel Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 10th International Conference, PaCT 2009, Novosibirsk, Russia, August 31-September 4, 2009. Proceedings, pp. 194-206, 2009, Springer, 978-3-642-03274-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Massively Parallel Model, Global Cellular Automata, GCA with Write Access, Dynamic Neighborhood, Dynamic Cell Activation, GCA-w Applications, GCA-w Architecture |
33 | Jongmin Lee 0002, Soontae Kim |
An energy-delay efficient 2-level data cache architecture for embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 343-346, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
2-level data cache, early cache hit predictor, one-way write |
33 | Dariusz R. Kowalski, Alexander A. Shvartsman |
Writing-all deterministically and optimally using a nontrivial number of asynchronous processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Algorithms ![In: ACM Trans. Algorithms 4(3), pp. 33:1-33:22, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Write-All, distributed algorithms, shared memory, Asynchrony, work |
33 | Sylvain Stanchina, Matthias Meyer |
Exploiting the efficiency of generational algorithms for hardware-supported real-time garbage collection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 713-718, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
object-based processor architecture, write barrier, real-time garbage collection, generational garbage collection |
33 | Dean Hildebrand, Lee Ward, Peter Honeyman |
Large files, small writes, and pNFS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 116-124, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
NFSv4, pNFS, small write performance improvement, parallel I/O, distributed file system, parallel file system |
33 | Dariusz R. Kowalski, Alexander A. Shvartsman |
Writing-all deterministically and optimally using a non-trivial number of asynchronous processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2004: Proceedings of the Sixteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, June 27-30, 2004, Barcelona, Spain, pp. 311-320, 2004, ACM, 1-58113-840-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
write-all, distributed algorithms, shared memory, asynchrony, work |
33 | Dan Liu, Xinsong Liu, Zhijie Qiu, Gongjun Yan |
A High Efficiency Distributed Mutual Exclusion Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Programming Technologies, 5th International Workshop, APPT 2003, Xiamen, China, September 17-19, 2003, Proceedings, pp. 75-84, 2003, Springer, 3-540-20054-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
read/write clock stamp, self-stability, distributed mutual exclusion |
33 | Wee Teck Ng, Peter M. Chen |
The Systematic Improvement of Fault Tolerance in the Rio File Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS-29, The Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing, Madison, Wisconsin, USA, June 15-18, 1999, pp. 76-83, 1999, IEEE Computer Society, 0-7695-0213-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
write-back file cache, reliable memory, software fault injection |
Displaying result #1 - #100 of 6048 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|