The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase write-latency (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2009 (15) 2015-2023 (13)
Publication types (Num. hits)
article(7) inproceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4 occurrences of 4 keywords

Results
Found 28 publication records. Showing 28 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
53Jonas Skeppstedt Overcoming Limitations of Prefetching in Multiprocessors by Compiler-Initiated Coherence Actions. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF compiler-initiated coherence, CC-NUMA multiprocessor, compiler-controlled prefetching, read-stall time, write-latency, read-latency, memory access latency reduction, migratory sharing, parallel architectures, multiprocessors, prefetching, prefetch, compiler-analysis
53Timothy Bisson, Scott A. Brandt Reducing Hybrid Disk Write Latency with Flash-Backed I/O Requests. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Kevin Skadron, Douglas W. Clark Design Issues and Tradeoffs for Write Buffers. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Rui Yan, Jiwu Shu, Dongchan Wen An Implementation of Semi-synchronous Remote Mirroring System for SANs. Search on Bibsonomy GCC Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Seung-Ho Lim, Sung Hoon Baek, Joo Young Hwang, Kyu Ho Park Write Back Routine for JFFS2 Efficient I/O. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Guangyu Sun 0003, Xiangyu Dong, Yuan Xie 0001, Jian Li 0059, Yiran Chen 0001 A novel architecture of the 3D stacked MRAM L2 cache for CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Moonseok Jang, Kexin Wang, Sangjin Lee 0001, Hyeonggyu Jeong, In-Yeong Song, Yong Ho Song, Jungwook Choi Achieving low write latency through new stealth program operation supporting early write completion in NAND flash memory. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Sa'ed Abed Reducing Write Latency by Integrating Advanced PreSET Technique and Two-Stage-Write with Inversion Schemes. Search on Bibsonomy J. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
30Huaxia Xia, Andrew A. Chien RobuSTore: a distributed storage architecture with robust and high performance. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jim Nilsson, Fredrik Dahlgren Reducing Ownership Overhead for Load-Store Sequences in Cache-Coherent Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Danai Patiyoot Migration / Evolution of security towards wireless ATM. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Tzi-cker Chiueh, Lan Huang Track-Based Disk Logging. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Jun Wang 0001, Yiming Hu PROFS-Performance-Oriented Data Reorganization for Log-Structured File System on Multi-Zone Disks. Search on Bibsonomy MASCOTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Maohua Lu, Shibiao Lin, Tzi-cker Chiueh Efficient Logging and Replication Techniques for Comprehensive Data Protection. Search on Bibsonomy MSST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Mohd Sakib Ansari S, Kavitha S, Bhupendra Singh Reniwal, Santosh Kumar Vishvakarma Design of Radiation Hardened 12T SRAM with Enhanced Reliability and Read/Write Latency for Space Application. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Yu-Pei Liang, Tseng-Yi Chen, Yuan-Hao Chang 0001, Yi-Da Huang, Wei-Kuan Shih Planting Fast-Growing Forest by Leveraging the Asymmetric Read/Write Latency of NVRAM-Based Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Yuze Chen, Seokin Hong Proactive Dead Block Eviction for Reducing Write Latency in STT-MRAM Caches. Search on Bibsonomy ICEIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Wen Wen, Youtao Zhang, Jun Yang 0002 Accelerating 3D Vertical Resistive Memories with Opportunistic Write Latency Reduction. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Kazuteru Namba, Fabrizio Lombardi Coding for Write Latency Reduction in a Multi-Level Cell (MLC) Phase Change Memory (PCM). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Wei-Chu Tsai, Sung-Ming Wu, Li-Pin Chang Learning-Assisted Write Latency Optimization for Mobile Storage. Search on Bibsonomy RTCSA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Kazuteru Namba, Fabrizio Lombardi On Coding for Endurance Enhancement and Error Control of Phase Change Memories With Write Latency Reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Ishan G. Thakkar, Sudeep Pasricha DyPhase: A Dynamic Phase Change Memory Architecture With Symmetric Write Latency and Restorable Endurance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Ishan G. Thakkar, Sudeep Pasricha DyPhase: A Dynamic Phase Change Memory Architecture with Symmetric Write Latency. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Vishal Deep, Tarek A. Elarabi Write Latency Reduction Techniques of State-of-the-Art Phase Change Memory. Search on Bibsonomy EMS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Ali Ahari, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori Improving reliability, performance, and energy efficiency of STT-MRAM with dynamic write latency. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Junfei Wang, Jiwu Shu, Bigang Li SAN-MDS: A High Performance Disk Based on Memory Device for SAN. Search on Bibsonomy ICAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Håkan Grahn, Per Stenström Relative Performance of Hardware and Software-Only Directory Protocols Under Latency Tolerating and Reducing Techniques. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
8Sang-Won Lee 0001, Bongki Moon Design of flash-based DBMS: an in-page logging approach. Search on Bibsonomy SIGMOD Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF flash-memory database server, in-page logging
Displaying result #1 - #28 of 28 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license