The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFTS"( http://dblp.L3S.de/Venues/DFTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
2013 (51) 2015 (44)
Publication types (Num. hits)
inproceedings(93) proceedings(2)
Venues (Conferences, Journals, ...)
DFTS(95)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 95 publication records. Showing 95 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Alirad Malek, Stavros Tzilis, Danish Anis Khan, Ioannis Sourdis, Georgios Smaragdos, Christos Strydis Reducing the performance overhead of resilient CMPs with substitutable resources. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Senwen Kan, Marco Ottavi, Jennifer Dworak Enhancing embedded SRAM security and error tolerance with hardware CRC and obfuscation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gustavo K. Contreras, Nisar Ahmed, LeRoy Winemberg, Mark M. Tehranipoor Predictive LBIST model and partial ATPG for seed extraction. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Puneet Ramesh Savanur, Phaninder Alladi, Spyros Tragoudas A BIST approach for counterfeit circuit detection based on NBTI degradation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Asad Amin Bawa, Nur A. Touba Improving X-tolerant combinational output compaction via input rotation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhixi Yang, Jie Han 0001, Fabrizio Lombardi Approximate compressors for error-resilient multiplier design. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hyunseung Han, Joon-Sung Yang Asymmetric ECC organization in 3D-memory via spare column utilization. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shuai Chen, Junlin Chen, Domenic Forte, Jia Di, Mark M. Tehranipoor, Lei Wang 0003 Chip-level anti-reverse engineering using transformable interconnects. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Salin Junsangsri, Fabrizio Lombardi, Jie Han 0001 Evaluating the impact of spike and flicker noise in phase change memories. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniele Felici, Sandro Bonacini, Marco Ottavi Characterization of low power radiation-hard reed-solomon code protected serializers in 65-nm for HEP experiments electronics. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sami Teravainen, Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sk Subidh Ali, Ozgur Sinanoglu Scan attack on Elliptic Curve Cryptosystem. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Hashem Haghbayan, Sami Teravainen, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Adaptive fault simulation on many-core microprocessor systems. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nicolas Borrel, Clement Champeix, Edith Kussener, Wenceslas Rahajandraibe, Mathieu Lisart, Alexandre Sarafianos, Jean-Max Dutertre Influence of triple-well technology on laser fault injection and laser sensor efficiency. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jerry Backer, David Hély, Ramesh Karri On enhancing the debug architecture of a system-on-chip (SoC) to detect software attacks. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Junlin Chen, Lei Wang 0003 Low-power LDPC decoder design exploiting memory error statistics. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jimson Mathew, Yuamfam Yang, M. Ottavia, T. Browna, A. Zampettia, A. Di Carloa, A. M. Jabirb, Dhiraj K. Pradhan Fault detection and repair of DSC arrays through memristor sensing. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mani Soma On-line detection of intermittent faults in digital-to-analog converters. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cagatay Ozmen, Aydin Dirican, Nurettin Tan, Hieu Nguyen, Martin Margala A CMOS ripple detector for integrated voltage regulator testing. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Clement Champeix, Nicolas Borrel, Jean-Max Dutertre, Bruno Robisson, Mathieu Lisart, Alexandre Sarafianos SEU sensitivity and modeling using pico-second pulsed laser stimulation of a D Flip-Flop in 40 nm CMOS technology. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pedro Reviriego, Salvatore Pontarelli, Juan Antonio Maestro, Marco Ottavi A method to protect Bloom filters from soft errors. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mehran Mozaffari Kermani, Reza Azarderakhsh Reliable hash trees for post-quantum stateless cryptographic hash-based signatures. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael Opoku Agyeman, Kin-Fai Tong, Terrence S. T. Mak Towards reliability and performance-aware Wireless Network-on-Chip design. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Luca Cassano A configurable board-level adaptive incremental diagnosis technique based on decision trees. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jyothish Soman, Negar Miralaei, Alan Mycroft, Timothy M. Jones 0001 REPAIR: Hard-error recovery via re-execution. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tengteng Zhang, D. M. H. Walker Impact of test compression on power supply noise control. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Rahul Thomas, Rohan Thomas, Klinsmann J. Coelho Silva Meneses, Tommy Q. Yang, Israel Koren, Zahava Koren Single Event Upsets and Hot Pixels in digital imagers. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seyyed Hasan Mozafari, Brett H. Meyer Hot spare components for performance-cost improvement in multi-core SIMT. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manolis Kaliorakis, Sotiris Tselonis, Athanasios Chatzidimitriou, Dimitris Gizopoulos Accelerated microarchitectural Fault Injection-based reliability assessment. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Diego G. Rodrigues, Ghazaleh Nazarian, Álvaro F. Moreira, Luigi Carro, Georgi Gaydadjiev A non-conservative software-based approach for detecting illegal CFEs caused by transient faults. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bahar J. Farahani, Saeed Safari A cross-layer approach to online adaptive reliability prediction of transient faults. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2015, Amherst, MA, USA, October 12-14, 2015 Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  BibTeX  RDF
1Felipe Rosa 0001, Fernanda Lima Kastensmidt, Ricardo Reis 0001, Luciano Ost A fast and scalable fault injection framework to evaluate multi/many-core soft error reliability. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Adedotun A. Adeyemo, Jimson Mathew, Abusaleh M. Jabir, Dhiraj K. Pradhan Exploring error-tolerant low-power multiple-output read scheme for memristor-based memory arrays. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alexander Schöll, Claus Braun, Michael A. Kochte, Hans-Joachim Wunderlich Low-overhead fault-tolerance for the preconditioned conjugate gradient solver. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kamran Saleem, Sreenivaas S. Muthyala, Nur A. Touba Compacting output responses containing unknowns using an embedded processor. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Badrun Nahar 0001, Brett H. Meyer RotR: Rotational redundant task mapping for fail-operational MPSoCs. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ignacio Herrera-Alzu, Marisa López-Vallejo, C. Gil Soriano A Dual-Layer Fault Manager for systems based on Xilinx Virtex FPGAs. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Muralidharan Venkatasubramanian, Vishwani D. Agrawal, James J. Janaher Quest for a quantum search algorithm for testing stuck-at faults in digital circuits. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Piecewise-functional broadside tests based on intersections of reachable states. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Kenji Kise Dependable real-time task execution scheme for a many-core platform. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Muhammad Yasin, Bodhisatwa Mazumdar, Sk Subidh Ali, Ozgur Sinanoglu Security analysis of logic encryption against the most effective side-channel attack: DPA. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Abdulaziz Eker, Oguz Ergin Using value similarity of registers for soft error mitigation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Raashid Ansari, Shucheng Yu, Qiaoyan Yu IntelliCAN: Attack-resilient Controller Area Network (CAN) for secure automobiles. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kundan Nepal, Xi Shen, Jennifer Dworak, Theodore W. Manikas, R. Iris Bahar Built-in Self-Repair in a 3D die stack using programmable logic. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Emanuel Dogaru, Filipe Vinci dos Santos, William Rebernak LMS-based RF BIST architecture for multistandard transmitters. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chen Liu 0013, Jeyavijayan Rajendran, Chengmo Yang, Ramesh Karri Shielding heterogeneous MPSoCs from untrustworthy 3PIPs through security-driven task scheduling. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Caleb Serafy, Ankur Srivastava 0001 Online TSV health monitoring and built-in self-repair to overcome aging. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lanfang Tan, Ying Tan, Jianjun Xu CFEDR: Control-flow error detection and recovery using encoded signatures monitoring. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jianli Li, Qingping Tan SmartInjector: Exploiting intelligent fault injection for SDC rate analysis. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexandre Sarafianos, Mathieu Lisart, Olivier Gagliano, Valerie Serradeil, Cyril Roscian, Jean-Max Dutertre, Assia Tria Robustness improvement of an SRAM cell against laser-induced fault injection. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rafal Baranowski, Alejandro Cook, Michael E. Imhof, Chang Liu 0010, Hans-Joachim Wunderlich Synthesis of workload monitors for on-line stress prediction. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qiaoyan Yu, Jonathan Frey Exploiting error control approaches for Hardware Trojans on Network-on-Chip links. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Rohit Thomas, Israel Koren, Zahava Koren Improved image accuracy in Hot Pixel degraded digital cameras. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sushmita Kadiyala Rao, Ryan W. Robucci, Chintan Patel Framework for dynamic estimation of power-supply noise and path delay. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Meilin Zhang, Paul Ampadu Variation-tolerant cache by two-layer error control codes. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kouta Maebashi, Kazuteru Namba, Masato Kitakami Testing of switch blocks in TSV-reduced Three-Dimensional FPGA. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kazuteru Namba, Fabrizio Lombardi A novel scheme for concurrent error detection of OLS parallel decoders. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pedro Reviriego, Shih-Fu Liu, Juan Antonio Maestro, S. Lee, Nur A. Touba, Rudrajit Datta Implementing triple adjacent Error Correction in double error correction Orthogonal Latin Squares Codes. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hassan Ghasemzadeh Mohammadi, Pierre-Emmanuel Gaillardon, Majid Yazdani, Giovanni De Micheli A fast TCAD-based methodology for Variation analysis of emerging nano-devices. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Elena I. Vatajelu, Georgios Tsiligiannis, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Aida Todri, Arnaud Virazel, Frederic Wrobel, Frédéric Saigné On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cell. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ting An, Lirida Alves de Barros Naviner, Philippe Matherat A low cost reliable architecture for S-Boxes in AES processors. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matheus T. Moreira, Bruno S. Oliveira, Fernando Gehm Moraes, Ney Laert Vilar Calazans Charge sharing aware NCL gates design. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michael A. Skitsas, Chrysostomos Nicopoulos, Maria K. Michael DaemonGuard: O/S-assisted selective software-based Self-Testing for multi-core systems. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aleksandar Simevski, Rolf Kraemer, Milos Krstic Automated integration of fault injection into the ASIC design flow. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arwa Ben Dhia, Lirida A. B. Naviner, Philippe Matherat Evaluating CLB designs under multiple SETs in SRAM-based FPGAs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Saif-Ur Rehman, Mounir Benabdenbi, Lorena Anghel BIST for logic and local interconnect resources in a novel mesh of cluster FPGA. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2013, New York City, NY, USA, October 2-4, 2013 Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  BibTeX  RDF
1Kun Bian, D. M. H. Walker, Sunil P. Khatri, Shayak Lahiri Mixed structural-functional path delay test generation and compaction. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Muhammad Aamir Khan 0002, Hans G. Kerkhoff Analysing degradation effects in charge-redistribution SAR ADCs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Atefe Dalirsani, Michael A. Kochte, Hans-Joachim Wunderlich SAT-based code synthesis for fault-secure circuits. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Veit Kleeberger, Daniel Mueller-Gritschneder, Ulf Schlichtmann Technology-aware system failure analysis in the presence of soft errors by Mixture Importance Sampling. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rance Rodrigues, Sandip Kundu A low power architecture for online detection of execution errors in SMT processors. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Manoj Kumar 0001, Pankaj Kumar Srivastava, Vijay Laxmi, Manoj Singh Gaur, Seok-Bum Ko Reconfigurable distributed fault tolerant routing algorithm for on-chip networks. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Naseef Mansoor, Amlan Ganguly, Manoj Prashanth Yuvaraj An energy-efficient and robust millimeter-wave Wireless Network-on-Chip architecture. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Soroush Khaleghi, Wenjing Rao Spare sharing network enhancement for scalable systems. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kaikai Liu, Hao Cai, Ting An, Lirida A. B. Naviner, Jean-François Naviner, Hervé Petit Reliability analysis of combinational circuits with the influences of noise and single-event transients. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Masayoshi Yoshimura, Amy Ogita, Toshinori Hosokawa A smart Trojan circuit and smart attack method in AES encryption circuits. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shida Zhong, S. Saqib Khursheed, Bashir M. Al-Hashimi Impact of PVT variation on delay test of resistive open and resistive bridge defects. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexandru Paler, Josef Kinseher, Ilia Polian, John P. Hayes Approximate simulation of circuits with probabilistic behavior. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jianghao Guo, Qiang Han, Wen-Ben Jone, Yu-Liang Wu A cross-layer fault-tolerant design method for high manufacturing yield and system reliability. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Patryk Skoncej Fault Injection Framework for embedded memories. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Natesh Ganesh, Neal G. Anderson On-chip error correction with unreliable decoders: Fundamental physical limits. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Asad Amin Bawa, Muhammad Tauseef Rab, Nur A. Touba Efficient compression of x-masking control data via dynamic channel allocation. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu-Wei Lee, Nur A. Touba Unified 3D test architecture for variable test data bandwidth across pre-bond, partial stack, and post-bond test. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1J.-Y. Hung, Noh-Jin Park, K. M. George, Nohpill Park Modeling and analysis of repair and maintenance processes in Fault Tolerant Systems. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Classes of difficult-to-diagnose transition fault clusters. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Amir-Pasha Mirbaha, Jean-Max Dutertre, Assia Tria Differential analysis of Round-Reduced AES faulty ciphertexts. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gustavo K. Contreras, Md. Tauhidur Rahman 0001, Mohammad Tehranipoor Secure Split-Test for preventing IC piracy by untrusted foundry and assembly. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Stefano Campitelli, Marco Ottavi, Salvatore Pontarelli, Alessandro Marchioro, Daniele Felici, Fabrizio Lombardi F-DICE: A multiple node upset tolerant flip-flop for highly radioactive environments. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Elisa Quintarelli, Fabio Salice, Paolo Garza A data mining approach to incremental adaptive functional diagnosis. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Matteo Carminati, Antonio Miele, Anup Das 0001, Akash Kumar 0001, Bharadwaj Veeravalli Run-time mapping for reliable many-cores based on energy/performance trade-offs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chandra Babu Dara, Themistoklis Haniotakis, Spyros Tragoudas Low power and high speed current-mode memristor-based TLGs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mottaqiallah Taouil, Said Hamdioui, Erik Jan Marinissen, Sudipta Bhawmik Impact of mid-bond testing in 3D stacked ICs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hassan Salmani, Mohammad Tehranipoor Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #95 of 95 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license