The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sudipta Mondal, Ramprasath S 0001, Ziqing Zeng, Kishor Kunal, Sachin S. Sapatnekar A Multicore GNN Training Accelerator. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Teyuh Chou, Fernando García-Redondo, Paul N. Whatmough, Zhengya Zhang AR-PIM: An Adaptive-Range Processing-in-Memory Architecture. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chukwufumnanya Ogbogu, Madeleine Abernot, Corentin Delacour, Aida Todri-Sanial, Sudeep Pasricha, Partha Pratim Pande Energy-Efficient Machine Learning Acceleration: From Technologies to Circuits and Systems. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Daniel Xing, Yuntao Liu 0001, Ankur Srivastava 0001 Low Power Logic Obfuscation Through System Level Clock Gating. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Erich Malan, Valentino Peluso, Andrea Calimera, Enrico Macii Enabling DVFS Side-Channel Attacks for Neural Network Fingerprinting in Edge Inference Services. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yong-Cheng Liaw, Shuo-Han Chen, Yuan-Hao Chang 0001, Yu-Pei Liang Sky-NN: Enabling Efficient Neural Network Data Processing with Skyrmion Racetrack Memory. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zijie Chen, Yiming Gao 0009, Junrui Liang A Self-Powered Predictive Maintenance System Based on Piezoelectric Energy Harvesting and TinyML. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yiqi Jing, Yiyang Sun, Xiao Wang, Wentao Zhao, Meng Wu, Fengyun Yan, Yufei Ma 0002, Le Ye, Tianyu Jia DCIM-3DRec: A 3D Reconstruction Accelerator with Digital Computing-in-Memory and Octree-Based Scheduler. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Edgar Luhulima, Madeleine Abernot, Federico Corradi, Aida Todri-Sanial Digital Implementation of On-Chip Hebbian Learning for Oscillatory Neural Network. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wenwen Xu, Zheyu Zhang, Yuankai Xu, Jing Li, Yehan Ma, Yier Jin, Christopher D. Gill, Xuan Zhang 0001, An Zou Energy Efficient Real-Time Scheduling on Heterogeneous Architectures with Self-Suspension. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kang Eun Jeon, Johnny Rhe, Hyeonsu Bang, Jong Hwan Ko Weight-Aware Activation Mapping for Energy-Efficient Convolution on PIM Arrays. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dina Hussein, Taha Belkhouja, Ganapati Bhat, Janardhan Rao Doppa Energy-Efficient Missing Data Recovery in Wearable Devices: A Novel Search-Based Approach. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Octavian Pascu, Catalin Visan, Georgian Nicolae, Mihai Boldeanu, Horia Cucu, Cristian Diaconu, Andi Buzo, Georg Pelz Efficient Multi-Objective Optimization for PVT Variation-Aware Circuit Sizing Using Surrogate Models and Smart Corner Sampling. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongho Ha, Won Woo Ro, Hung-Wei Tseng 0001 TensorCV: Accelerating Inference-Adjacent Computation Using Tensor Processors. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rakshith Saligram, Suman Datta, Arijit Raychowdhury Cryogenic CMOS as an Enabler for Low Power Dynamic Logic. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zexi Ji, Hanrui Wang 0002, Miaorong Wang, Win-San Khwa, Meng-Fan Chang, Song Han 0003, Anantha P. Chandrakasan A Fully-Integrated Energy-Scalable Transformer Accelerator Supporting Adaptive Model Configuration and Word Elimination for Language Understanding on Edge Devices. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Matteo Risso, Alessio Burrello, Giuseppe Maria Sarda, Luca Benini, Enrico Macii, Massimo Poncino, Marian Verhelst, Daniele Jahier Pagliari Precision-aware Latency and Energy Balancing on Multi-Accelerator Platforms for DNN Inference. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jakang Lee, Jaeseung Lee, Seonghyeon Park, Seokhyeong Kang Multi-Source Transfer Learning for Design Technology Co-Optimization. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vahidreza Moghaddas, Hammam Kattan, Tim Bücher, Mikail Yayla, Jian-Jia Chen, Hussam Amrouch Temperature-Aware Memory Mapping and Active Cooling of Neural Processing Units. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Omar Ghazal, Simranjeet Singh, Tousif Rahman, Shengqi Yu, Yujin Zheng, Domenico Balsamo, Sachin B. Patkar, Farhad Merchant, Fei Xia, Alex Yakovlev, Rishad A. Shafik IMBUE: In-Memory Boolean-to-CUrrent Inference ArchitecturE for Tsetlin Machines. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gaurav Narang, Raid Ayoub, Michael Kishinevsky, Janardhan Rao Doppa, Partha Pratim Pande Uncertainty-Aware Online Learning for Dynamic Power Management in Large Manycore Systems. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zeqing Li, Yongwei Wu, Youhui Zhang Multi-Objective Optimization for Floating Point Mix-Precision Tuning. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu-Shao Lai, Shuo-Han Chen, Yuan-Hao Chang 0001 Enabling Highly-Efficient DNA Sequence Mapping via ReRAM-based TCAM. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chukwufumnanya Ogbogu, Soumen Mohapatra, Biresh Kumar Joardar, Janardhan Rao Doppa, Deuk Heo, Krishnendu Chakrabarty, Partha Pratim Pande Energy-Efficient ReRAM-Based ML Training via Mixed Pruning and Reconfigurable ADC. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gamze Islamoglu, Moritz Scherer, Gianna Paulin, Tim Fischer 0001, Victor J. B. Jung, Angelo Garofalo, Luca Benini ITA: An Energy-Efficient Attention and Softmax Accelerator for Quantized Transformers. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qiankai Cao, Xi Chen, Jie Gu 0001 Development of Tropical Algebraic Accelerator with Energy Efficient Time-Domain Computing for Combinatorial Optimization and Machine Learning. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kunal Bharathi, Sunil P. Khatri, Jiang Hu Scaled Population Division for Approximate Computing. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2023, Vienna, Austria, August 7-8, 2023 Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sepehr Tabrizchi, Shaahin Angizi, Arman Roohi Ocellus: Highly Parallel Convolution-in-Pixel Scheme Realizing Power-Delay-Efficient Edge Intelligence. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Khaled Sidahmed Sidahmed Alamin, Francesco Daghero, Giovanni Pollo, Daniele Jahier Pagliari, Yukai Chen, Enrico Macii, Massimo Poncino, Sara Vinco Model-Driven Dataset Generation for Data-Driven Battery SOH Models. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tsung-Yen Hsu, Yi-Shen Chen, Yun-Chih Chen, Yuan-Hao Chang 0001, Tei-Wei Kuo REFROM: Responsive, Energy-Efficient Frame Rendering for Mobile Devices. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gourav Datta, Haoqin Deng, Robert Aviles, Zeyu Liu 0003, Peter A. Beerel Bridging the Gap Between Spiking Neural Networks & LSTMs for Latency & Energy Efficiency. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sandra Maria Shaji, Lingjun Zhu, Jun-Sik Yoon, Sung Kyu Lim A Comparative Study on Front-Side, Buried and Back-Side Power Rail Topologies in 3nm Technology Node. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jingxiao Ma, Sherief Reda WeNet: Configurable Neural Network with Dynamic Weight-Enabling for Efficient Inference. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Christian Lanius, Florian Freye, Shutao Zhang, Tobias Gemmeke Hardware Trojans in fdSOI. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nezam Rohbani, Mohammad Arman Soleimani, Hamid Sarbazi-Azad CoolDRAM: An Energy-Efficient and Robust DRAM. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hyun Woo Oh, Seongmo An, Won Sik Jeong, Seung Eun Lee RF2P: A Lightweight RISC Processor Optimized for Rapid Migration from IEEE-754 to Posit. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Christian Lanius, Jie Lou, Johnson Loh, Tobias Gemmeke Automatic Generation of Structured Macros Using Standard Cells ‒ Application to CIM. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongrui Li, Tomomasa Yamasaki, Aarthy Mani, Anh Tuan Do, Niangjun Chen, Bo Wang 0020 LAXOR: A Bit-Accurate BNN Accelerator with Latch-XOR Logic for Local Computing. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jina Park, Kyuseung Han, Eunjin Choi, Sukho Lee, Jae-Jin Lee, Woojoo Lee, Massoud Pedram Florian: Developing a Low-Power RISC-V Multicore Processor with a Shared Lightweight FPU. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yujin Nam, Minxuan Zhou, Saransh Gupta, Gabrielle De Micheli, Rosario Cammarota, Chris Wilkerson, Daniele Micciancio, Tajana Rosing Efficient Machine Learning on Encrypted Data Using Hyperdimensional Computing. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Deepraj Soni, Mohammed Nabeel 0001, Negar Neda, Ramesh Karri, Michail Maniatakos, Brandon Reagen Quantifying the Overheads of Modular Multiplication. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Doyeon Won, Soomin Kim 0001, Taewhan Kim Machine Learning Driven Synthesis of Clock Gating. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hyunmin Kim, Sungju Ryu Teleport: A High-Performance ShiftNet Hardware Accelerator with Fused Layer Computation. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chia-Chih Lin, Ming-Syan Chen Learning from Output Transitions: A Chosen Challenge Strategy for ML Attacks on PUFs. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shien Zhu, Shuo Huai, Guochu Xiong, Weichen Liu iMAT: Energy-Efficient In-Memory Acceleration for Ternary Neural Networks With Sparse Dot Product. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gwanjong Park, Osama Khan, Euiseong Seo Energy-Harvesting-Aware Adaptive Inference of Deep Neural Networks in Embedded Systems. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yifan Zhang, Arnav Vaibhav Malawade, Xiaofang Zhang, Yuhui Li, DongHwan Seong, Mohammad Abdullah Al Faruque, Sitao Huang CARMA: Context-Aware Runtime Reconfiguration for Energy-Efficient Sensor Fusion. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Utkarsh Saxena, Kaushik Roy 0001 Partial-Sum Quantization for Near ADC-Less Compute-In-Memory Accelerators. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jung Gyu Min, Dongyun Kam, Younghoon Byun, Gunho Park, Youngjoo Lee Energy-Efficient RISC-V-Based Vector Processor for Cache-Aware Structurally-Pruned Transformers. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Johnny Rhe, Kang Eun Jeon, Jong Hwan Ko PAIRS: Pruning-AIded Row-Skipping for SDK-Based Convolutional Weight Mapping in Processing-In-Memory Architectures. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Heewoo Kim, Haojie Ye, Trevor N. Mudge, Ronald G. Dreslinski, Nishil Talati RecPIM: A PIM-Enabled DRAM-RRAM Hybrid Memory System For Recommendation Models. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guowei Yang, Cansu Demirkiran, Zeynep Ece Kizilates, Carlos A. Ríos Ocampo, Ayse K. Coskun, Ajay Joshi Processing-in-Memory Using Optically-Addressed Phase Change Memory. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mahya Morid Ahmadi, Lilas Alrahis, Ozgur Sinanoglu, Muhammad Shafique 0001 FPGA-Patch: Mitigating Remote Side-Channel Attacks on FPGAs using Dynamic Patch Generation. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kyeong-Jun Lee, ByungJun Kim, Han-Gyeol Mun, Seunghyun Moon, Jae-Yoon Sim Joint Optimization of Cache Management and Graph Reordering for GCN Acceleration. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jaehoon Jeong, JongHyun Ko, Taigon Song A Study on Optimizing Pin Accessibility of Standard Cells in the Post-3 nm Node. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alessandro Torrisi, Maria Doglioni, Kasim Sinan Yildirim, Davide Brunelli Visible Light Synchronization for Time-Slotted Energy-Aware Transiently-Powered Communication. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Reena Elangovan, Ashish Ranjan 0001, Niharika Thakuria, Sumeet Kumar Gupta, Anand Raghunathan Energy Efficient Cache Design with Piezoelectric FETs. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jung Hwan Heo, Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram Sparse Periodic Systolic Dataflow for Lowering Latency and Power Dissipation of Convolutional Neural Network Accelerators. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Berken Utku Demirel, Luke Chen, Mohammad Abdullah Al Faruque Neural Contextual Bandits Based Dynamic Sensor Selection for Low-Power Body-Area Networks. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yen-Ting Chen, Han-Xiang Liu, Yuan-Hao Chang 0001, Yu-Pei Liang, Wei-Kuan Shih SACS: A Self-Adaptive Checkpointing Strategy for Microkernel-Based Intermittent Systems. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Joonhyung Kim, Kyeongho Lee, Jongsun Park 0001 A Charge Domain P-8T SRAM Compute-In-Memory with Low-Cost DAC/ADC Operation for 4-bit Input Processing. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ranyang Zhou, Arman Roohi, Durga Misra, Shaahin Angizi FlexiDRAM: A Flexible in-DRAM Framework to Enable Parallel General-Purpose Computation. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Matteo Risso, Alessio Burrello, Luca Benini, Enrico Macii, Massimo Poncino, Daniele Jahier Pagliari Multi-Complexity-Loss DNAS for Energy-Efficient and Memory-Constrained Deep Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chao Lu, Shamik Kundu, Abraham Peedikayil Kuruvila, Supriya Margabandhu Ravichandran, Kanad Basu Design and Logic Synthesis of a Scalable, Efficient Quantum Number Theoretic Transform. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abhinav Goel, Caleb Tung, Nick Eliopoulos, Xiao Hu 0004, George K. Thiruvathukal, James C. Davis 0001, Yung-Hsiang Lu Directed Acyclic Graph-based Neural Networks for Tunable Low-Power Computer Vision. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sehyeon Chung, Jooyeon Jeong, Taewhan Kim Improving Performance and Power by Co-Optimizing Middle-of-Line Routing, Pin Pattern Generation, and Contact over Active Gates in Standard Cell Layout Synthesis. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Suwan Kim, Sehyeon Chung, Taewhan Kim, Heechun Park Tightly Linking 3D Via Allocation Towards Routing Optimization for Monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shida Zhang, Nael Mizanur Rahman, Venkata Chaitanya Krishna Chekuri, Carlos Tokunaga, Saibal Mukhopadhyay Analysis of the Effect of Hot Carrier Injection in An Integrated Inductive Voltage Regulator. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tianrui Ma, Weidong Cao, Fei Qiao, Ayan Chakrabarti, Xuan Zhang 0001 HOGEye: Neural Approximation of HOG Feature Extraction in RRAM-Based 3D-Stacked Image Sensors. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Prattay Chowdhury, Chaitali Sathe, Benjamin Carrión Schäfer Predictive Model Attack for Embedded FPGA Logic Locking. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abinand Nallathambi, Sanchari Sen, Anand Raghunathan, Nitin Chandrachoodan Layerwise Disaggregated Evaluation of Spiking Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ruicong Chen, H. T. Kung 0001, Anantha P. Chandrakasan, Hae-Seung Lee A Bit-level Sparsity-aware SAR ADC with Direct Hybrid Encoding for Signed Expressions for AIoT Applications. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yigit Tuncel, Anish Krishnakumar, Aishwarya Lekshmi Chithra, Younghyun Kim 0001, Ümit Y. Ogras A Domain-Specific System-On-Chip Design for Energy Efficient Wearable Edge AI Applications. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Deepika Sharma, Aayush Ankit, Kaushik Roy 0001 Identifying Efficient Dataflows for Spiking Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alberto Marchisio, Beatrice Bussolino, Edoardo Salvati, Maurizio Martina, Guido Masera, Muhammad Shafique 0001 Enabling Capsule Networks at the Edge through Approximate Softmax and Squash Operations. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Abhiroop Bhattacharjee, Youngeun Kim, Abhishek Moitra, Priyadarshini Panda Examining the Robustness of Spiking Neural Networks on Non-ideal Memristive Crossbars. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Anthony Agnesina, Moritz Brunion, Alberto García Ortiz, Francky Catthoor, Dragomir Milojevic, Manu Komalan, Matheus A. Cavalcante, Samuel Riedel, Luca Benini, Sung Kyu Lim Hier-3D: A Hierarchical Physical Design Methodology for Face-to-Face-Bonded 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Swati Upadhyay, Arijit Nath, Hemangee K. Kapoor Exploiting successive identical words and differences with dynamic bases for effective compression in Non-Volatile Memories. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lingjun Zhu, Nesara Eranna Bethur, Yi-Chen Lu, Youngsang Cho, Yunhyeok Im, Sung Kyu Lim 3D IC Tier Partitioning of Memory Macros: PPA vs. Thermal Tradeoffs. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yi-Shen Chen, Yuan-Hao Chang 0001, Tei-Wei Kuo Drift-tolerant Coding to Enhance the Energy Efficiency of Multi-Level-Cell Phase-Change Memory. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hai Helen Li, Charles Augustine, Ayse Kivilcim Coskun, Swaroop Ghosh (eds.) ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1 - 3, 2022 Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cheng Chu, Nai-Hui Chia, Lei Jiang 0001, Fan Chen 0001 QMLP: An Error-Tolerant Nonlinear Quantum MLP Architecture using Parameterized Two-Qubit Gates. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yufan Yue, Tutu Ajayi, Xueyang Liu, Peiwen Xing, Zihan Wang, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim A Unified Forward Error Correction Accelerator for Multi-Mode Turbo, LDPC, and Polar Decoding. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zahra Azad, Guowei Yang, Rashmi Agrawal 0001, Daniel Petrisko, Michael B. Taylor, Ajay Joshi RACE: RISC-V SoC for En/decryption Acceleration on the Edge for Homomorphic Computation. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ya-Hui Yang, Shuo-Han Chen, Yuan-Hao Chang 0001 Evolving Skyrmion Racetrack Memory as Energy-Efficient Last-Level Cache Devices. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cheng Chu, Dawen Xu 0002, Ying Wang 0001, Fan Chen 0001 Canopy: A CNFET-based Process Variation Aware Systolic DNN Accelerator. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jingyao Zhang 0002, Hoda Naghibijouybari, Elaheh Sadredini Sealer: In-SRAM AES for High-Performance and Low-Overhead Memory Encryption. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sanchari Sen, Swagath Venkataramani, Anand Raghunathan Efficacy of Pruning in Ultra-Low Precision DNNs. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nezam Rohbani, Masoumeh Ebrahimi SRAM Gauge: SRAM Health Monitoring via Cells Race. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ting-Shan Lo, Chun-Feng Wu, Yuan-Hao Chang 0001, Tei-Wei Kuo, Wei-Chen Wang 0002 Space-efficient Graph Data Placement to Save Energy of ReRAM Crossbar. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Arman Kazemi, Mohammad Mehdi Sharifi, Zhuowen Zou, Michael T. Niemier, X. Sharon Hu, Mohsen Imani MIMHD: Accurate and Efficient Hyperdimensional Inference Using Multi-Bit In-Memory Computing. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohammad Mehdi Sharifi, Lillian Pentecost, Ramin Rajaei, Arman Kazemi, Qiuwen Lou, Gu-Yeon Wei, David M. Brooks, Kai Ni 0004, X. Sharon Hu, Michael T. Niemier, Marco Donato Application-driven Design Exploration for Dense Ferroelectric Embedded Non-volatile Memories. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu Chen 0070, Bowen Liu, Pierre Abillama, Hun-Seok Kim HTNN: Deep Learning in Heterogeneous Transform Domains with Sparse-Orthogonal Weights. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ankur Limaye, Tosiron Adegbija DOSAGE: Generating Domain-Specific Accelerators for Resource-Constrained Computing. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Toygun Basaklar, Yigit Tuncel, Sizhe An, Ümit Y. Ogras Wearable Devices and Low-Power Design for Smart Health Applications: Challenges and Opportunities. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ali Akbari, Roozbeh Jafari Power-Aware Heart Rate Monitoring using Particle Filters. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sonali Singh, Anup Sarma, Sen Lu, Abhronil Sengupta, Vijaykrishnan Narayanan, Chita R. Das Gesture-SNN: Co-optimizing accuracy, latency and energy of SNNs for neuromorphic vision sensors. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhiping Wang, W. Rhett Davis An Instruction-Level Power and Energy Model for the Rocket Chip Generator. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Diana Marculescu When Climate Meets Machine Learning: Edge to Cloud ML Energy Efficiency. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1918 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license