The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "NORCHIP"( http://dblp.L3S.de/Venues/NORCHIP )

URL (DBLP): http://dblp.uni-trier.de/db/conf/norchip

Publication years (Num. hits)
2011 (53) 2012 (57) 2013 (48) 2014 (52)
Publication types (Num. hits)
inproceedings(206) proceedings(4)
Venues (Conferences, Journals, ...)
NORCHIP(210)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 210 publication records. Showing 210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Dejan Radjen, Martin Anderson, Lars Sundström, Pietro Andreani A low-power 2nd-order CT ΔΣ modulator with an asynchronous SAR quantizer. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Timo Rahkonen, Christian Schuss, Mikko Hietanen, T. Kotikumpu, J. Mustajarvi, A. Myllymaki Electronics for characterizing and using photovoltaics. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pere Llimos Muntal, Dennis Oland Larsen, Ivan H. H. Jørgensen, Erik Bruun Integrated reconfigurable high-voltage transmitting circuit for CMUTs. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christoph Thomas Muller, Evangelia Kasapaki, Rasmus Bo Sørensen, Jens Sparsø Synthesis and layout of an asynchronous network-on-chip using Standard EDA tools. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Antti Mäntyniemi, Juha Kostamovaara Time-to-digital converter (TDC) based on startable ring oscillators and successive approximation. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Olli Kursu, Timo Rahkonen Integrated circuit for neural recording and stimulation. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vacius Jusas, Tomas Neverdauskas Stimuli generator for testing processes in VHDL. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dmitry Lukyanov, Sergey Yu. Shevchenko, Alexander S. Kukaev, E. Filippova, D. Safronov Micromechanical accelerometers based on surface acoustic waves. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abdelrahman H. Radwan, Ahmad M. Marzouk, Mohamed A. Abd El-Ghany, Klaus Hofmann An efficient maximum power point tracking algorithm for solar PV panels. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mika Kutila, Marko Ylitolva, Jonas Eriksson Design solutions for a low-power SoC platform using near-threshold voltages. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ming Liu, Elena Dubrova An new approach to reliable FSRs lDesign. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Magne Voernes, Trond Ytterdal, Snorre Aunet Performance comparison of 5 subthreshold CMOS flip-flops under process-, voltage-, and temperature variations, based on netlists from layout. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nikola Katic, Ibrahim Kazi, Armin Tajalli, Alexandre Schmid, Yusuf Leblebici A 5.43-μW 0.8-V subthreshold current-sensing ΣΔ modulator for low-noise sensor interfaces. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jakob Kenn Toft, Alberto Nannarelli Energy efficient FPGA based hardware accelerators for financial applications. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dmitry Lukyanov, Sergey Yu. Shevchenko, Alexander S. Kukaev, A. Ivanov, R. Telichkin Micro rate gyroscopes based on surface acoustic waves. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tobias Tired, Henrik Sjöland, Per Sandrup, Johan Wernehag, Imad ud Din, Markus Törmänen A 28 GHz SiGe QVCO and divider for an 81-86 GHz E-band beam steering transmitter PLL. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mathias Herlev, Christian Keis Poulsen, Jens Sparsø Open core protocol (OCP) clock domain crossing interfaces. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stefan Hänzsche, Sebastian Höppner, René Schüffny A 10 bit 16 MS/s redundant SAR ADC with flexible window function for a digitally controlled DC-DC converter in 28 nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nguyen Duc Bui Phong, Masoud Daneshtalab, Sergei Dytckov, Juha Plosila, Hannu Tenhunen Silicon synapse designs for VLSI neuromorphic platform. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tapani Nevalainen, Tero Koivisto, Mikko Pänkäälä Subthreshold nano-watt front-end amplifier for wireless ECG applications. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Vahdati, Mikko Varonen, Mikko Kärkkäinen, Dristy Parveg, Kari Halonen A 97-106-GHz differential I-Q phase shifter in 28-nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Muh-Dey Wei, Sheng-Fuh Chang, Renato Negra Design of low phase noise K-band Voltage-Controlled Oscillator using 180 nm CMOS and integrated passive device technologies. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Janko Katic, Saul Rodriguez 0001, Ana Rusu Analysis of dead time losses in energy harvesting boost converters for implantable biosensors. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hussein Ezzeddine, Johnny Öberg, Francesco Robino Validation of Pipelined Double-precision Floating Point operations in a multi-core environment implemented on FPGA using the ForSyDe/NoC system generator tool suite. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Siavoosh Payandeh Azad, Nasim Farahini, Ahmed Hemani Customization methodology of a Coarse Grained Reconfigurable architecture. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lebo Wang, Youde Hu, Li-Rong Zheng 0001, Jue Shen, Zhuo Zou Design of wideband mixer and VGA for Software Defined Radio in RFID application. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rimpy Bishnoi, Vijay Laxmi, Manoj Singh Gaur, Radi Husin Bin Ramlee, Mark Zwolinski Fault tolerant routing implementation mechanism for irregular 2D mesh NoCs. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Elena Dubrova, Mats Näslund, Göran Selander, Vlasios Tsiatsis Energy-efficient message authentication for IEEE 802.15.4-based wireless sensor networks. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jonathan Edvard Bjerkedok, Ali Asghar Vatanjou, Trond Ytterdal, Snorre Aunet Modular layout-friendly cell library design applied for subthreshold CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Prakash Harikumar, J. Jacob Wikner Design of a sampling switch for a 0.4-V SAR ADC using a multi-stage charge pump. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 2014 NORCHIP, Tampere, Finland, October 27-28, 2014 Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  BibTeX  RDF
1Jesper Johansson, Lars Svensson A novel speculative pseudo-parallel ΔΣ modulator. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Roman Marsálek, Martin Pospísil Evaluation of digital predistortion using the USRP N200 software defined radio transceiver. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jue Shen, Fredrik Jonsson, Jian Chen 0001, Hannu Tenhunen, Li-Rong Zheng 0001 Phase noise improvement and noise modeling of type-I ADPLL with non-linear quantization effects. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Federica Resta, Stefano D'Amico, Marcello De Matteis, Andrea Baschirotto An improved source-follower based Sallen-Key continuous-time biquadratic cell with auxiliary path. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nan Li 0018, Elena Dubrova, Gunnar Carlsson Evaluation of alternative LBIST flows: A case study. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oana Boncalo, Alexandru Amaricai, Christian Spagnol, Emanuel M. Popovici Cost effective FPGA probabilistic fault emulation. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Martin Nielsen-Lönn, J. Jacob Wikner, Atila Alvandpour Design considerations for interface circuits to low-voltage piezoelectric energy harvesters. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ilkka Nissinen, Jan Nissinen, Jouni Holma, Juha Kostamovaara Cross talk measurements of a time-gated 4×128 SPAD array for pulsed Raman spectroscopy. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yue Qian, Junhui Wang Analyzing Worst-case Delay-Buffer-Equation for wormhole networks on chip. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kin Keung Lee, Tor Sverre Lande A 5.3 pJ/pulse impulse-radio ultra-wideband pulse-generator for band group # 6. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shailesh Singh Chouhan, Kari Halonen Voltage multiplier circuit for UHF RF to DC conversion for RFID applications. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Soo-Woo Kim, Se-Hyuk An, Nam-Soo Kim, Hye-Im Jeong, Ho-Yong Choi Circuit design for broad band EMI reduction in LCD driver IC. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Janne Aikio, Timo Rahkonen Polynomial modelling: Accuracy vs. shape. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yangxurui Liu, Liang Liu 0002, Viktor Öwall, Shuming Chen Implementation of a dynamic wordlength SIMD multiplier. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hitoshi Hayashi Tandem Lange 3-dB 90° hybrid implemented on FR4 substrate. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ji Wang, Manuel Bejarano Carmona, Helgi Hall, Dejan Radjen, Ping Lu 0002 A 9-bit 1-MS/s 7-μW SAR ADC for ultra low power radio. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dennis Oland Larsen, Pere Llimos Muntal, Ivan H. H. Jørgensen, Erik Bruun High-voltage pulse-triggered SR latch level-shifter design considerations. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tuan Nguyen Gia, Nanda Kumar Thanigaivelan, Amir-Mohammad Rahmani, Tomi Westerlund, Pasi Liljeberg, Hannu Tenhunen Customizing 6LoWPAN networks towards Internet-of-Things based ubiquitous healthcare systems. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Niels Marker-Villumsen, Erik Bruun Optimization of modulator and circuits for low power continuous-time Delta-Sigma ADC. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peter Nilsson 0001, Ateeq Ur Rahman Shaik, Rakesh Gangarajaiah, Erik Hertz Hardware implementation of the exponential function using Taylor series. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Muhammad Touqir Pasha, Mark Vesterbacka A modified switching scheme for multiplexer based thermometer-to-binary encoders. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ivan H. H. Jørgensen, Niels Marker-Villumsen How to implement an experimental course on analog IC design in a standard semester schedule. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pekka Keränen, Juha Kostamovaara Algorithmic time-to-digital converter. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ameya Bhide, Atila Alvandpour Critical path analysis of two-channel interleaved digital MASH ΔΣ modulators. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Romualdas Navickas Self-formation processes in high-speed integrated circuits. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Peter Pracný, Ivan H. H. Jørgensen, Liang Chen, Erik Bruun Interpolation by a prime factor other than 2 in low-voltage low-power ΣΔ DAC. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michal Stala, Rakesh Gangarajaiah, Ove Edfors, Viktor Öwall Area and power reduction in DFT based channel estimators for OFDM systems. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Janne P. Aikio, Timo Rahkonen, Tero Korkala Analysis of band-to-band mixing distortion contributions in some usual circuit topologies. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aleksandr Vasjanov, Vaidotas Barzdenas, John C. Liobe Implementing OCEAN scripts in RF circuit design. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tobias Tired, Henrik Sjöland, Carl Bryant, Markus Törmänen A 1V SiGe power amplifier for 81-86 GHz E-band. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ming Shen 0001, Jan H. Mikkelsen An analytical model for spectral peak frequency prediction of substrate noise in CMOS substrates. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mikail Yücetas, Mika Pulkkinen, Jakub Gronicz, Kari Halonen A temperature sensor with 3σ inaccuracy of +0.5/-0.75 °C and energy per conversion of 0.65 μJ using a 0.18 μm CMOS technology. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Andrius Platakis, Algirdas Baskys, Nerijus Paulauskas Limited active harmonic compensation in a grid-connected photovoltaic inverter. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Marko Neitola, Timo Rahkonen Comparison of static and memory predistortion in envelope tracking system. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vaidotas Barzdenas, Romualdas Navickas Micro- and nano-electronics education in Vilnius Gediminas Technical University. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ville Eerola, Jari Nurmi Correlator design and implementation for GNSS receivers. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ali Zaher, Philipp Häfliger Single poly non-volatile memory cells for miniaturized sensors in 90nm CMOS technology. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jing Ning, Klaus Hofmann A integrated high voltage controller for a reconfigurable antenna array. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Simo Hietakangas, Mikko Hietanen, Timo Rahkonen 1.8 W, 19 MHz envelope amplifier for envelope tracking and envelope elimination and restoration. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jia Sun, Timo Rahkonen Settling performance enhancement by pre-charging technique in switched-capacitor circuit. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Maksim Gorev, Raimund Ubar, Peeter Ellervee, Sergei Devadze, Jaan Raik, Mart Min At-speed self-testing of high-performance pipe-lined processing architectures. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Somayeh Khoroush, Midia Reshadi A fault tolerant approach for application-specific Network-on-Chip. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Stefan Granlund, Liang Liu 0002, Chenxin Zhang, Viktor Öwall Implementation of a highly-parallel soft-output MIMO detector with fast node enumeration. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Isael Diaz, Chenxin Zhang, Lieven Hollevoet, Jim Svensson, Joachim Neves Rodrigues, Leif R. Wilhelmsson, Thomas Olsson 0001, Liesbet Van der Perre, Viktor Öwall Nex generation digital front-end for multi-standard concurrent reception. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Erik Bruun, Ivan Ring Nielsen Trends in university programs in nanoelectronics and microsystems. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gregor Sievers, Peter Christ, Julian Einhaus, Thorsten Jungeblut, Mario Porrmann, Ulrich Rückert 0001 Design-space exploration of the configurable 32 bit VLIW processor CoreVA for signal processing applications. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Quoc-Tai Duong, Jerzy J. Dabrowski, Atila Alvandpour Highly linear open-loop output driver design for high speed capacitive DACs. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mairo Leier, Gert Jervan Sleep apnea pre-screening on neonates and children with shoe integrated sensors. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohammadreza Pourakbar, Markus Törmänen, Michael Faulkner, Henrik Sjöland An LC-based tunable low-isolation device for adaptive duplexers. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jan A. Angevare, Kristof Blutman, Amir Zjajo, Nick van der Meijs A CMOS 0.23pj Freeze Vernier Time-To-Digital Converter. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 2013 NORCHIP, Vilnius, Lithuania, November 11-12, 2013 Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  BibTeX  RDF
1Muh-Dey Wei, Dirk Bormann, Stefan Kaehlert, Tobias D. Werth, Lei Liao, Sheng-Fuh Chang, Renato Negra 3.5 GHz triple cascaded current-reuse low noise amplifier. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dejan Radjen, Pietro Andreani, Martin Anderson, Lars Sundström A low-power 2nd-order CT ΔΣ modulator with a single operational amplifier. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Markku Åberg, Jan Saijets Feasibility of a cryogenic SiGe amplifier at 4 k. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kriss Osmanis, Gatis Valters, Ilmars Osmanis 3D volumetric display design challenges. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shailesh Singh Chouhan, Kari Halonen A simple all MOS voltage reference for RFID applications. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Khurram Shahzad, Bengt Oelmann An FPGA-based high-performance wireless vibration analyzer. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Felice Francesco Tafuri, Daniel Sira, Torben Larsen Modeling and predistortion of envelope tracking power amplifiers using a memory binomial model. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shubo Yan, Mattias Andersson, Henrik Sjöland A 31.25/125MSps continuous-time ΔΣ ADC with 64/59db SNDR in 130nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kin Keung Lee, Kristian Granhaug, Nikolaj Andersen A study of low-power crystal oscillator design. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Surya Sharma, Trond Ytterdal Low power front end electronics for in-probe beamforming in ultrasound imaging. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wei Wei, Ole Kiel Jensen, Jan H. Mikkelsen Self-heating and memory effects in RF power amplifiers explained through electro-thermal. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Srinivasa Reddy Kuppireddi, Oddvar Søråsen High aspect ratio lateral electrode nano gap rectangular plate micro-resonator novel process. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Geng Yang, Li Xie, Li-Rong Zheng 0001 Evaluation of non-contact flexible electrodes connected with a customized IC-steps towards a fully integrated ECG sensor. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shenjie Wang, José Luis Merino, Francisco Molina-Lopez, Danick Briand, Catherine Dehollain Design of a CMOS single stage dual-mode SC C/V converter for capacitive sensors. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexandru Amaricai, Oana Boncalo SRT radix-2 dividers with (5, 4) redundant representation of partial remainder. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Muhammad Fahim Ul Haque, Ted Johansson, Dake Liu Combined RF and multilevel PWM switch mode power amplifier. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yanxiang Huang, Ajay Kapoor, Robert Rutten, José Pineda de Gyvez A 13 bits 4.096 GHz 45 nm CMOS digital decimation filter chain using Carry-Save format numbers. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ye Xu, Trond Ytterdal A 7-bit 50ms/s single-ended asynchronous SAR ADC in 65nm CMOS. Search on Bibsonomy NORCHIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 210 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license