The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synthesis with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Ralf Wimmer 0001, Karina Wimmer, Christoph Scholl 0001, Bernd Becker 0001 Analysis of Incomplete Circuits Using Dependency Quantified Boolean Formulas. Search on Bibsonomy Advanced Logic Synthesis The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Charles André, Julien DeAntoni, Frédéric Mallet, Robert de Simone The Time Model of Logical Clocks Available in the OMG MARTE Profile. Search on Bibsonomy Synthesis of Embedded Software The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Alexandre Cortier, Loïc Besnard, Jean-Paul Bodeveix, Jérémy Buisson, Fabien Dagnat, Mamoun Filali, Gérald Garcia, Julien Ouy, Marc Pantel, Ana-Elena Rugina, Martin Strecker, Jean-Pierre Talpin Synoptic: A Domain-Specific Modeling Language for Space On-board Application Software. Search on Bibsonomy Synthesis of Embedded Software The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Dumitru Potop-Butucaru, Robert de Simone, Yves Sorel From Synchronous Specifications to Statically Scheduled Hard Real-Time Implementations. Search on Bibsonomy Synthesis of Embedded Software The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Julien Boucaron, Anthony Coadou, Robert de Simone Formal Modeling of Embedded Systems with Explicit Schedules and Routes. Search on Bibsonomy Synthesis of Embedded Software The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Yann Glouche, Thierry Gautier, Paul Le Guernic, Jean-Pierre Talpin A Module Language for Typing SIGNAL Programs by Contracts. Search on Bibsonomy Synthesis of Embedded Software The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Stephen A. Edwards, Nalini Vasudevan Compiling SHIM. Search on Bibsonomy Synthesis of Embedded Software The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Loïc Besnard, Thierry Gautier, Paul Le Guernic, Jean-Pierre Talpin Compilation of Polychronous Data Flow Equations. Search on Bibsonomy Synthesis of Embedded Software The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Rastislav Bodík, Orna Kupferman, Douglas R. Smith, Eran Yahav (eds.) Software Synthesis, 06.12. - 11.12.2009 Search on Bibsonomy Software Synthesis The full citation details ... 2009 DBLP  BibTeX  RDF
26Luc De Raedt, Thomas G. Dietterich, Lise Getoor, Kristian Kersting, Stephen H. Muggleton (eds.) Probabilistic, Logical and Relational Learning - A Further Synthesis, 15.04. - 20.04.2007 Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2008 DBLP  BibTeX  RDF
26Taisuke Sato, Yoshitaka Kameya, Kenichi Kurihara Variational Bayes via Propositionalization. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Nicolas Baskiotis, Michèle Sebag Structural Sampling for Statistical Software Testing. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Pedro M. Domingos, Parag Singla Markov Logic in Infinite Domains. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26James Cussens Model equivalence of PRISM programs. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Luke S. Zettlemoyer, Hanna M. Pasula, Leslie Pack Kaelbling Logical Particle Filtering. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Sriraam Natarajan, Prasad Tadepalli, Alan Fern Exploiting prior knowledge in Intelligent Assistants - Combining relational models with hierarchies. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Ashwin Deshpande, Brian Milch, Luke S. Zettlemoyer, Leslie Pack Kaelbling Learning Probabilistic Relational Dynamics for Multiple Tasks. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Barbara Hammer, Alessio Micheli, Alessandro Sperduti A general framework for unsupervised preocessing of structured data. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Peter A. Flach, Edson Takashi Matsubara On classification, ranking, and probability estimation. Search on Bibsonomy Probabilistic, Logical and Relational Learning - A Further Synthesis The full citation details ... 2007 DBLP  BibTeX  RDF
26Henry A. Kautz, Wolfgang Thomas, Moshe Y. Vardi (eds.) Synthesis and Planning, 12.-17. June 2005 Search on Bibsonomy Synthesis and Planning The full citation details ... 2006 DBLP  BibTeX  RDF
26David P. LaPotin, Charles J. Alpert, John Lillis (eds.) Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, California, USA, December 2-3, 2002 Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  BibTeX  RDF
26Hai Zhou 0001 Clock schedule verification with crosstalk. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF verification, delay, coupling, clock schedule
26Ei Ando, Masafumi Yamashita, Toshio Nakata, Yusuke Matsunaga The statistical longest path problem and its application to delay analysis of logical circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Quadratic deferred-merge embedding algorithm for zero skew clock distribution network. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, clock distribution network, zero skew
26Avi Efrati, Moshe Kleyner Timing analysis challenges for high speed CPUs at 90nm and below. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Himanshu Kaul, Dennis Sylvester, David T. Blaauw Active shielding of RLC global interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Sangyun Kim 0001, Sunan Tugsinavisut, Peter A. Beerel Reducing probabilistic timed petri nets for asynchronous architectural analysis. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Jun Chen 0008, Lei He 0001 Determination of worst-case crosstalk noise for non-switching victims in GHz+ buses. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect design
26Duane S. Boning, Joseph Panganiban, Karen Gonzalez-Valentin, Sani R. Nassif, Chandler McDowell, Anne E. Gattiker, Frank Liu 0001 Test structures for delay variability. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Min Zhao 0001, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal Worst case clock skew under power supply variations. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock skew, power supply noise, clock network
26Kurt Keutzer, Michael Orshansky From blind certainty to informed uncertainty. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula Statistical timing analysis using bounds and selective enumeration. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Paul I. Pénzes, Mika Nyström, Alain J. Martin Transistor sizing of energy-delay--efficient circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-delay optimization, transistor sizing
26Louis Scheffer Explicit computation of performance as a function of process variation. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF static timing, process variation, yield, statistical timing
26Brian A. Floyd, Xiaoling Guo, James Caserta, Timothy O. Dickson, Chih-Ming Hung, Kihong Kim, Kenneth K. O Wireless interconnects for clock distribution. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated antenna, wireless interconnect, wireless communication, interconnect, clock distribution, RF CMOS
26Joni Dambre, Dirk Stroobandt, Jan Van Campenhout A probabilistic approach to clock cycle prediction. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock cycle prediction, performance modeling, interconnect prediction
26Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driving point model for on-chip RLC interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Baris Taskin, Ivan S. Kourtev Performance optimization of single-phase level-sensitive circuits using time borrowing and non-zero clock skew. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, linear programming, clock skew, cycle stealing
26Bhavana Thudi, David T. Blaauw Efficient switching window computation for cross-talk noise. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula Statistical timing analysis using bounds and selective enumeration. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Vasant B. Rao, Jeffrey Soreff, Ravichander Ledalla, Fred L. Yang Aggressive crunching of extracted RC netlists. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RC reduction, TICER, crunching, node elimination, resistor shorting, time constants, interconnect modeling, elmore delay
26Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan PERI: a technique for extending delay and slew metrics to ramp inputs. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Elmore, slew, delay, interconnects, PDF, moments, median, skewness, standard deviation
26Gabriele Saucier, Jacques Trilhe (eds.) Synthesis for Control Dominated Circuits, Selected papers from the IFIP WG10.2/WG10.5 Workshops, Grenoble, France, April and September, 1992 Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1993 DBLP  BibTeX  RDF
26Eric Gautrin, Laurent Perraudeau MADMACS: an environment for the layout of regular arrays. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26H. Zhang, Kunihiro Asada A general and efficient mask pattern generator for non-series-parallel CMOS transistor network. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26C. Safina, Régis Leveugle Clocking scheme selection for circuits made up of a controller and a datapath. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Jochen Beister, Ralf Wollowski Controller Implementation by Communicating Asynchronous Sequential Circuits Generated from a Petri Net Specification of Required Behavior. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Evagelos Katsadas, Zohair Sahraoui, Maryse Wouters, Veerle Derudder, Ivo Bolsens, Paul Six, Hugo De Man Regular Module Generation or Standard Cells: Two Alternative Implementations of a Library of Functional Building Blocks. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Daniel Gajski, Nikil D. Dutt Benchmarking and the Art of Syntesis Tool Comparison. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26A. J. W. M. ten Berg Floorplan Optimized Topological Partitioning of Programmed Logic Arrays. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Vasily G. Moshnyaga, Keikichi Tamaru, Hiroto Yasuura Design of data-path module generators from algorithmic representations. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Antonio Martinez Timing Model Accuracy Issues and Automated Library Characterization. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Steve C.-Y. Huang, Wayne H. Wolf Timing-Driven State Assignment for Controller-Datapath Systems. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Régis Leveugle, C. Safina Generation of optimized datapaths: bit-slice versus standard cells. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Yang Wu, Ian Dorrington RTL OptimizA: From Control Data Flow Graph to Logic Circuit. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Lotfi Ben Ammar, Alain Greiner FITPATH: A Process-Independent Datapath Compiler Providing High Density Layout. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Francesco Curatelli, Daniele D. Caviglia, Marco Chirico, Giacomo M. Bisio Optimization strategies in symbolic compaction. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Amnon Baron Cohen, Michael Shechory Pathway: A datapath layout assembler. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26B. Conq, R. Etienne, T. Perez-Segovia Design Library Portability: A Case Study. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Augusli Kifli, R. De Wulf, J. Zegers, Gert Goossens, Paul Six, Hugo De Man Flag/Condition Handling and Branch Assignment for Large Microcoded Controllers. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
26Miriam Leeser, Geoffrey Brown (eds.) Hardware Specification, Verification and Synthesis: Mathematical Aspects, Mathematical Science Institute Workshop, Cornall University, Ithaca, New York, USA, July 5-7, 1989, Proceedings Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Randal E. Bryant Verification of Synchronous Circuits by Symbolic Logic Simulation. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Christian Lengauer, Bikash Sabata, Farshid Arman A Mechanically Derived Systolic Implementation of Pyramid Initialization. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26David A. Basin, Peter Del Vecchio Verification Of Combinational Logic in Nuprl. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Brian T. Graham, Graham M. Birtwistle Formalising the Design of an SECD chip. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Paul Loewenstein Reasoning about State Machines in Higher-Order Logic. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26David L. Dill Complete Trace Structures. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Mark Bickford, Mandayam K. Srivas Verification of a Pipelined Microprocessor Using Clio. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Warren A. Hunt Jr., Bishop Brock The Verification of a Bit-slice ALU. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26George J. Milne Design for Verifiability. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Mary Sheeran Categories for the Working Hardware Designer. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Daniel Weise Constraints, Abstraction and Verification. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Jeffrey J. Joyce Totally Verified Systems: Linking Verified Software to Verified Hardware. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF machine-assisted theorem proving, safety-critical systems, higher-order logic, hardware verification, compiler correctness
26Shiu-Kai Chin Combining Engineering Vigor with Mathematical Rigor. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Wolfgang Bibel, Klaus P. Jantke (eds.) Mathematical Methods of Specification and Synthesis of Software Systems '85, Proceedings of the International Spring School, Wendisch-Rietz, GDR, April 22-26, 1985 Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
26Robert P. Daley Inductive inference hierarchies: probabilistic vs pluralistic strategies. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Zdzislaw Habasinski Decidability in Pratt's process logics. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Takeshi Shinohara Some problems on inductive inference from positive data. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Bernhard Thalheim Deductive normal forms of relations. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Enn Tyugu Language and example of knowledge-based programming. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Helena Rasiowa, Andrzej Skowron Approximation logic. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Sergei S. Goncharov, Dmitri Ivanovich Sviridenko Theoretical aspects of Sigma-programming. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Werner Dilger, Wolfgang Womann The METANET. A knowledge representation tool based on abstract data types. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Dieter Pötschke Formalizing analogical reasoning. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Jan A. Bergstra, Jan Willem Klop Verification of an alternating bit protocol by means of process algebra. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Christian Posthoff, Joachim Reiß The solution of discrete problems by means of ternary representation. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Tamás Gergely, Konstantin Vershinin Natural mathematical texts vs. programs. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Jolanta Cybulka, Jerzy Bartoszek The proof-checking component for the PLEATS programming system enabling specification of theories. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Marek Ejsmont One more property of array languages. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Péter Komjáth, Zsolt Mihály Szabó Orientation problems on sequences by recursive functions. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Thomas Zeugmann On recursive optimizers. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Jacques Loeckx The algorithmic specification method of abstract data types: an overview. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Wolfgang Bibel Predicative programming revisited. Search on Bibsonomy Mathematical Methods of Specification and Synthesis of Software Systems The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Nicolas Bonneel, George Drettakis, Nicolas Tsingos, Isabelle Viaud-Delmon, Doug L. James Fast modal sounds with scalable frequency-domain synthesis. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modal synthesis, real-time audio rendering, physically based animation, sound synthesis
26Sotiris Karabetsos, Pirros Tsiakoulis, Aimilios Chalamandaris, Spyros Raptis HMM-Based Speech Synthesis for the Greek Language. Search on Bibsonomy TSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Greek Language, Statistical Parametric Speech Synthesis, HMM, Hidden Markov Model, Speech Synthesis, Text to Speech
26Dominik Bauer, Jim Kannampuzha, Bernd J. Kröger Articulatory Speech Re-synthesis: Profiting from Natural Acoustic Speech Data. Search on Bibsonomy COST 2102 Conference (Prague) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF articulatory speech synthesis, vocal tract action units, speech, articulation, re-synthesis
26Paul Tarau, Brenda Luderman A Logic Programming Framework for Combinational Circuit Synthesis. Search on Bibsonomy ICLP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF logic programming and circuit design, combinatorial object generation, exact combinational circuit synthesis, universal boolean logic libraries, symbolic rewriting, minimal transistor-count circuit synthesis
26Zhigang Deng, Ulrich Neumann, John P. Lewis, Tae-Yong Kim 0002, Murtaza Bulut, Shrikanth S. Narayanan Expressive Facial Animation Synthesis by Learning Speech Coarticulation and Expression Spaces. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF expressive speech, animation synthesis, speech coarticulation, motion capture, texture synthesis, Facial animation, data-driven
26Chittaranjan A. Mandal, R. M. Zimmer A Genetic Algorithm for the Synthesis of Structured Data Paths. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Data Path Synthesis (DPS), Scheduling, High-Level Synthesis (HLS), Allocation
26Harry Hengster, Bernd Becker 0001 Synthesis of Circuits Derived from Decision Diagrams - Combining Small Delay and Testability. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF EXOR-based Synthesis, Decision Diagrams, Synthesis for Testability, High Speed Circuits
Displaying result #201 - #300 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license