The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synthesis with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Vinu Vijay Kumar, John C. Lach Highly flexible multi-mode system synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multi-mode synthesis, reconfigurability, adaptable systems
21Hyunok Oh, Nikil D. Dutt, Soonhoi Ha Shift buffering technique for automatic code synthesis from synchronous dataflow graphs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic code synthesis, modulo buffering, shift buffering, buffer management, synchronous dataflow
21Gang Quan, James P. Davis, Siddhaveerasharan Devarkal, Duncan A. Buell High-level synthesis for large bit-width multipliers on FPGAs: a case study. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA devices, large-scale integer multipliers, high level synthesis, reconfigurable computing, design exploration
21Francis Alexandre, Khaled Bsaïes, Moussa Demba Predicate Synthesis from Inductive Proof Attempt of Faulty Conjectures. Search on Bibsonomy LOPSTR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Corrective predicate, implicative formulas, folding/unfolding rules, theorem proving, program synthesis, abduction
21Alexandru Nicolau, Nikil D. Dutt, Rajesh Gupta 0001, Nick Savoiu, Mehrdad Reshadi, Sumit Gupta Dynamic Common Sub-Expression Elimination during Scheduling in High-Level Synthesis. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF common sub-expression elimination, dynamic CSE, parallelizing transformations, high-level synthesis
21Amol Bakshi, Jingzhao Ou, Viktor K. Prasanna Towards automatic synthesis of a class of application-specific sensor networks. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sensor networks, energy efficiency, design environments, automatic synthesis
21Krzysztof Kuchcinski Embedded System Synthesis by Timing Constraints Solving. Search on Bibsonomy ISSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Embedded Systems, Synthesis, Constraint Logic Programming
21Chunho Lee, Miodrag Potkonjak, Wayne H. Wolf System-Level Synthesis of Application Specific Systems using A* Search and Generalized Force-Directed Heuristics. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Hard Real-Time, Search Techniques, System-Level Synthesis
21Ti-Yen Yen, Wayne H. Wolf Sensitivity-driven co-synthesis of distributed embedded systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF application software architecture, communicating periodic processes, gradient-search algorithm, local sensitivity, priority prediction method, process allocation, real-time distributed embedded systems, sensitivity-driven co-synthesis, software engineering, real-time systems, distributed processing, logic design, ASICs, ASIC, processor scheduling, performance estimates, process scheduling, arbitrary topology, communication links, CPU time, heterogeneous distributed systems
21Andrew C. Ling, Jianwen Zhu, Stephen Dean Brown Delay driven AIG restructuring using slack budget management. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF aig, budget management, logic synthesis, network flow
21Greg Stitt, Jason R. Villarreal Recursion flattening. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, fpga, compilers, synthesis, recursion
21Hao-Da Huang, Xin Tong 0001, Wen-Cheng Wang Accelerated Parallel Texture Optimization. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallel, GPU, texture synthesis, flow visualization, energy minimization
21Zdenek Krnoul, Jakub Kanis, Milos Zelezný, Ludek Müller Czech Text-to-Sign Speech Synthesizer. Search on Bibsonomy MLMI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sign speech, machine translation, automatic synthesis
21Yanxi Liu 0001, Yanghai Tsin, Wen-Chieh Lin The Promise and Perils of Near-Regular Texture. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF near-regular texture, texture synthesis, lattice, texture analysis, symmetry groups
21Pao-Ann Hsiung, Shang-Wei Lin 0001, Chih-Hao Tseng, Trong-Yen Lee, Jih-Ming Fu, Win-Bin See VERTAF: An Application Framework for the Design and Verification of Embedded Real-Time Software. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded real-time software, scheduling, formal verification, code generation, software components, Application framework, UML modeling, formal synthesis
21Pao-Ann Hsiung, Shang-Wei Lin 0001 Formal Design and Verification of Real-Time Embedded Software. Search on Bibsonomy APLAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, formal verification, code generation, software components, application framework, UML modeling, real-time embedded software, formal synthesis
21Anuradha Agarwal, Hemanth Sampath, Veena Yelamanchili, Ranga Vemuri Fast and accurate parasitic capacitance models for layout-aware. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF layout aware, parasitic estimation, analog synthesis
21Yunsi Fei, Niraj K. Jha Functional Partitioning for Low Power Distributed Systems of Systems-on-a-Chip. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SOC synthesis, genetic algorithm, functional partitioning
21Frank F. Hsu, Elizabeth M. Rudnick, Janak H. Patel Enhancing high-level control-flow for improved testability. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis for testability, at-speed testing, testability measures, test point insertion, high-level description
21James P. O'Connor, Catharine Mansour, Jerri Turner-Harris, Grady H. Campbell Jr. Reuse in Command-and-Control Systems. Search on Bibsonomy IEEE Softw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Command-and-Control Systems Division, Rockwell International, SPC Synthesis methodology, domain-specific reuse, high-level requirements, engineering decisions, formal specification, specification, software reusability, software requirements, command and control systems, customer needs
21Srinivas Krovvidy, William G. Wee, R. Scott Summers, John J. Coleman An AI approach for wastewater treatment systems. Search on Bibsonomy Appl. Intell. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Wastewater treatment, machine learning, synthesis, heuristic search, Hopfield network
21Klaus P. Jantke, Ulf Goldhammer Inductive Synthesis of Rewrite Rules as Program Synthesis (Extended Abstract). Search on Bibsonomy LOPSTR The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21David G. Haigh, Paul M. Radmore Systematic synthesis method for analogue circuits. Part I. Notation and synthesis toolbox. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21David Haigh, Fang Qun Tan, Christos Papavassiliou Systematic synthesis method for analogue circuits. Part III. All-transistor circuit synthesis. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Wander O. Cesário, Zoltan Sugar, Imed Moussa, Ahmed Amine Jerraya Efficient Integration of Behavioral Synthesis with Existing Design Flows. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Jeffrey Van Baalen, Steve Roach Using Decision Procedures to Accelerate Domain-Specific Deductive Synthesis Systems. Search on Bibsonomy LOPSTR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Jaime Jimenez, José Luis Martín 0001, Aitzol Zuloaga, Unai Bidarte, Jagoba Arias Comparison of two designs for the multifunction vehicle bus. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Seapahn Meguerdichian, Miodrag Potkonjak Watermarking while preserving the critical path. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Miodrag Potkonjak, Wayne H. Wolf A methodology and algorithms for the design of hard real-time multitasking ASICs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Shiu-Kai Chin, Edward P. Stabler, Kevin J. Greene Using higher order logic and functional languages to synthesize correct hardware. Search on Bibsonomy ICCL The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19William B. Toms, David A. Edwards A Complete Synthesis Method for Block-Level Relaxation in Self-Timed Datapaths. Search on Bibsonomy ACSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Asynchronous Combinational Logic Synthesis, Datapath Synthesis
19Kemal Sahin, Korhan Gürsoy, Amy Ciric MINLP: Heat Exchanger Network Synthesis. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF HEN synthesis, Network synthesis, MINLP
19Lih-Yih Chiou, Swarup Bhunia, Kaushik Roy 0001 Synthesis of application-specific highly efficient multi-mode cores for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, high level synthesis, synthesis, Digital signal processing (DSP), application specific integrated circuits (ASIC), reconfigurable system
19Robert Schreiber, Shail Aditya, Scott A. Mahlke, Vinod Kathail, B. Ramakrishna Rau, Darren C. Cronquist, Mukund Sivaraman PICO-NPA: High-Level Synthesis of Nonprogrammable Hardware Accelerators. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high-level hardware synthesis, automatic parallelization, datapath synthesis
19Yan Li, Tianshu Wang, Heung-Yeung Shum Motion texture: a two-level statistical model for character motion synthesis. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF motion texture, texture synthesis, motion synthesis, motion editing, linear dynamic systems
19Albrecht P. Stroele Synthesis for Arithmetic Built-In Self-Tes. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test configuration, built-in self-test, high-level synthesis, synthesis for testability, Accumulator
19George Economakos, George K. Papakonstantinou, Panayotis Tsanakas Incorporating multi-pass attribute grammars for the high-level synthesis of ASICs. Search on Bibsonomy SAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hardware synthesis environment, scheduling, high-level synthesis, attribute grammars
19Ross B. Ortega, Gaetano Borriello Communication synthesis for distributed embedded systems. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF distributed heterogeneous embedded systems, hardware/software co-synthesis, interprocessor communication, communication synthesis, multihop communication, bus protocols
19R. Neil Braithwaite, Bir Bhanu Error bound for multi-stage synthesis of narrow bandwidth Gabor filters. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF narrow bandwidth Gabor filters, multistage synthesis, weighted sum, spatially offset separable kernels, frequency offset, spatial subsampling rate, multistage filtering, general basis filter set design, error analysis, filtering theory, error bound, network synthesis
19Kevin Lano, Stephen J. Goldsack Discrete event process controller synthesis using VDM++. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ignition, control system synthesis, heat systems, discrete event process controller synthesis, VDM/sup ++/, controller specification, gas burner system, systematic method, declarative requirement statements, abstract VDM/sup ++/ specifications, concrete VDM/sup ++/ specifications, formalised requirements, refinement process, real-time systems, formal specification, temporal logic, timing, specification languages, timing analysis, object-oriented languages, process control, parallel languages, discrete event systems, programmable controllers, controller design, Vienna development method, control system CAD, Ada95, real-time temporal logic, combustion
19Samit Chaudhuri, Stephen A. Blythe, Robert A. Walker 0001 An exact methodology for scheduling in a 3D design space. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF 2D design space, 3D design space, 3D scheduling problem, Voyager design space exploration system, candidate clock lengths, clock length, globally optimal solution, schedule length, three dimensional scheduling, three-dimensional design space, two dimensional design space, scheduling, optimisation, high level synthesis, search problems, clocks, tight bounds, network synthesis, search space pruning
19Bernhard Eschermann Enhancing on-line testability during synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF BIST, synthesis for testability, control flow checking, controller synthesis
19Alan W. Biermann, Richard I. Baum, Frederick E. Petry Speeding up the Synthesis of Programs from Traces. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Computation trace, finite-state machine synthesis, incompletely specified machines, learning, inference, program synthesis, tree searching, program trace
19Gwenaël Delaval, Hervé Marchand, Éric Rutten Contracts for modular discrete controller synthesis. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF adaptive and reconfigurable systems, discrete controller synthesis, components, modularity, contracts, reactive systems, synchronous programming
19Seungwhun Paik, Sangmin Kim, Youngsoo Shin Wakeup synthesis and its buffered tree construction for power gating circuit designs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wakeup synthesis, leakage, power gating
19Susmit Jha, Sumit Gulwani, Sanjit A. Seshia, Ashish Tiwari 0001 Oracle-guided component-based program synthesis. Search on Bibsonomy ICSE (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF oracle-based learning, SAT, program synthesis, SMT
19Paul Gastin, Nathalie Sznajder, Marc Zeitoun Distributed synthesis for well-connected architectures. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Synthesis problem, Synchronous architectures, Distributed systems
19Keisuke Kawaguchi, Yui Endo, Satoshi Kanai Database-Driven Grasp Synthesis and Ergonomic Assessment for Handheld Product Design. Search on Bibsonomy HCI (11) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF digital hand, joint range of motion, grasp synthesis
19Ho-Joon Lee, Jong-Chan Park Interpretation of User Evaluation for Emotional Speech Synthesis System. Search on Bibsonomy HCI (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Emotional Speech Synthesis, Emotional Prosody Modification, User Evaluation, Affective Interaction
19Süleyman Sirri Demirsoy, Martin Langhammer Cholesky decomposition using fused datapath synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cholesky, fused datapath synthesis, fpga, floating-point
19Jason Cong, Karthik Gururaj, Guoling Han Synthesis of reconfigurable high-performance multicore systems. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coprocessor synthesis, reconfigurable high-performance computing, design space exploration
19Ting Deng, Jinpeng Huai, Xianxian Li, Zongxia Du, Huipeng Guo Automated synthesis of composite services with correctness guarantee. Search on Bibsonomy WWW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF composition synthesis, correctness constraints, learning algorithm
19Srinivasan Murali, Ciprian Seiculescu, Luca Benini, Giovanni De Micheli Synthesis of networks on chips for 3D systems on chips. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF topology synthesis, networks on chip, 3D, application-specific
19Prashant Saxena, Vishal Khandelwal, Changge Qiao, Pei-Hsin Ho, J.-C. Lin, Mahesh A. Iyer On improving optimization effectiveness in interconnect-driven physical synthesis. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, interconnect, physical synthesis, circuit optimization, vlsi
19Jing Tao, Wenju Liu An Improvement of HSMM-Based Speech Synthesis by Duration-Dependent State Transition Probabilities. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Duration-Dependent State Transition Probabilities, Speech Synthesis, Forward-Backward Algorithm
19Antonia Bertolino, Paola Inverardi, Patrizio Pelliccione, Massimo Tivoli Automatic synthesis of behavior protocols for composable web-services. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF web-services, testing, automatic synthesis, behavior protocols
19Leonid Ryzhyk, Peter Chubb, Ihor Kuz, Etienne Le Sueur, Gernot Heiser Automatic device driver synthesis with termite. Search on Bibsonomy SOSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF domain-specific languages, device drivers, software synthesis, two-player games
19Hong Thai Nguyen, Ee Ping Ong, Arthur Niswar, Zhiyong Huang 0001, Susanto Rahardja Automatic and real-time 3D face synthesis. Search on Bibsonomy VRCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D face synthesis, texture mapping, radial-basis function, feature points detection
19Xiaowei Sun, Baocai Yin, Yunhui Shi Low Frequency Domain Aided Texture Synthesis for Intra Prediction. Search on Bibsonomy AMT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low frequency domain consistent, texture synthesis, Intra prediction
19Frantisek Capkovic DES Control Synthesis and Cooperation of Agents. Search on Bibsonomy ICCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Agents, Petri nets, control, synthesis, cooperation, bipartite graphs, discrete-event systems, supervisor
19Hong-Zu Chou, Kai-Hui Chang, Sy-Yen Kuo Handling don't-care conditions in high-level synthesis and application for reducing initialized registers. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RTL symbolic simulation, don't-care (DC), synthesis
19Insup Shin, Seungwhun Paik, Youngsoo Shin Register allocation for high-level synthesis using dual supply voltages. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, high-level synthesis, register allocation, dual supply voltage
19Fatima Chouireb, Mhania Guerti Towards a high quality Arabic speech synthesis system based on neural networks and residual excited vocal tract model. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Phonetic and acoustic segmentation, Residual excitation, Prosodic database, Prosodic-information synthesizer, Neural networks, Natural language processing, Text-to-speech synthesis
19Liu-Yuan Lai, Wen-Liang Hwang, Paruvelli Sreedevi Performance evaluation of a novel sampling-based texture synthesis technique using different sized patches. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sampling-based, Multipatch, Patchwork, Texture synthesis, Multi-resolution
19Stavroula-Evita Fotinea, Eleni Efthimiou, George Caridakis, Kostas Karpouzis A knowledge-based sign synthesis architecture. Search on Bibsonomy Univers. Access Inf. Soc. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sign synthesis, Lexicon and grammar coding, Virtual signing, Sign language resources, Linguistic knowledge
19Yueh-Yi Lai, Wen-Kai Tai Transition Texture Synthesis. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF texture transition, texture mapping, texture synthesis
19Ali Ebnenasir, Sandeep S. Kulkarni, Anish Arora FTSyn: a framework for automatic synthesis of fault-tolerance. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fault-tolerance, Formal methods, Distributed programs, Program synthesis, Automatic addition of fault-tolerance
19Xuexiang Xie, Feng Tian 0006, Hock Soon Seah Style-learning with feature-based texture synthesis. Search on Bibsonomy Comput. Entertain. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF artistic style transfer, perceptual similarity, stylized shading, non-photorealistic rendering, texture synthesis
19Weiming Dong, Ning Zhou, Jean-Claude Paul Perspective-aware texture analysis and synthesis. Search on Bibsonomy Vis. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Perspectively featured texture, Scale map, Texture synthesis
19Chengsong Zhu, Yaoting Zhu A New Chinese Speech Synthesis Method Apply in Chinese Poetry Learning. Search on Bibsonomy ICWL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chinese poetry, PSOLA, Speech Synthesis, homomorphism
19Zhuo Li 0001, Charles J. Alpert, Shiyan Hu, Tuhin Muhmud, Stephen T. Quay, Paul G. Villarrubia Fast interconnect synthesis with layer assignment. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, wire sizing, layer assignment, interconnect synthesis
19Ke Zhang Synthesis of a Hybrid Five-Bar Mechanism with Particle Swarm Optimization Algorithm. Search on Bibsonomy ISNN (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, Synthesis, mechanism, PSO algorithm
19Shiva Nejati, Mehrdad Sabetzadeh, Marsha Chechik, Sebastián Uchitel, Pamela Zave Towards compositional synthesis of evolving systems. Search on Bibsonomy SIGSOFT FSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF behavioural design patterns, feature-based development, synthesis, pipelines, I/O automata
19Ke Sun, Yingyun Yang, Long Ye, Qin Zhang 0009 Image Restoration Using Piecewise Iterative Curve Fitting and Texture Synthesis. Search on Bibsonomy ICIC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Region extraction, Iterative curve fitting, Image restoration, Texture synthesis
19Ivan Zelinka, Roman Senkerik, Zuzana Oplatková Evolutionary Synthesis of Complex Structures. Search on Bibsonomy DEXA Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF evolutionary algorithms, synthesis, dynamical systems, symbolic regression
19Leonardo Bruno de Sá, Antonio Carneiro de Mesquita Filho Evolutionary synthesis of low-sensitivity equalizers using adjacency matrix representation. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF genetic algorithms, synthesis, circuit, digital filters
19Hiroki Satake, Haruna Marumoto, Kazuhisa Seta, Motohide Umano, Mitsuru Ikeda Learning Environment for Improving Critical Thinking Skills Based on New Synthesis Theory. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF new synthesis, guidance information, critical thinking
19Jakrapong Narkdej, Pizzanu Kanongchaiyos Fast and robust parameter estimation method for patch-based texture synthesis. Search on Bibsonomy VRCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF patch-based texture synthesis, image segmentation, texture model
19Silvana Revollar, Mario Francisco, Pastora Vega, Rosalba Lamanna Genetic Algorithms for the Synthesis and Integrated Design of Processes Using Advanced Control Strategies. Search on Bibsonomy DCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Process synthesis, Integrated Design, Genetic Algorithms, Model Predictive Control
19Antti Suni, Martti Vainio Deep Syntactic Analysis and Rule Based Accentuation in Text-to-Speech Synthesis. Search on Bibsonomy TSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF prosodic prominence, Finnish, Speech synthesis, syntactic analysis
19Tomasz S. Czajkowski, Stephen Dean Brown Functionally linear decomposition and synthesis of logic circuits for FPGAs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF decomposition, logic synthesis, linearity, Gaussian elimination
19Wei-Qi Yan 0001, Mohan S. Kankanhalli Multimedia simplification for optimized MMS synthesis. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MMS synthesis, Multimedia simplification, experiential sampling, home care monitoring, hypermedia coherence, mobile phone, soccer video
19Eduardo Tavares, Raimundo S. Barreto, Paulo Romero Martins Maciel, Meuse N. Oliveira Jr., Leonardo Amorim, Fernando Rocha, Ricardo Massa Ferreira Lima Software synthesis for hard real-time embedded systems with multiple processors. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2007 DBLP  DOI  BibTeX  RDF petri nets, real-time embedded systems, software synthesis, multiple processors
19Nikunj Raghuvanshi, Ming C. Lin Physically Based Sound Synthesis for Large-Scale Virtual Environments. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2007 DBLP  DOI  BibTeX  RDF spring-mass system, virtual environments, sound synthesis, physics engine
19Yu-Hsien Chiu, Chung-Hsien Wu, Hung-Yu Su, Chih-Jen Cheng Joint Optimization of Word Alignment and Epenthesis Generation for Chinese to Taiwanese Sign Synthesis. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Taiwanese sign language, sign language synthesis, video concatenation, language translation
19Congcong Li, Guangda Su, Yan Shang, Yingchun Li Frontal Face Synthesis Based on Multiple Pose-Variant Images for Face Recognition. Search on Bibsonomy ICB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Face recognition, texture synthesis, pose estimation, face alignment, stereoscopy
19Carsten Gremzow Compiled low-level virtual instruction set simulation and profiling for code partitioning and ASIP-synthesis in hardware/software co-design. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF hardware/software co-synthesis, instruction set architecture simulation, quantitative dataflow analysis, profiling, coarse-grained parallelism, LLVM
19Kyller Costa Gorgônio, Jordi Cortadella, Fei Xia A Compositional Method for the Synthesis of Asynchronous Communication Mechanisms. Search on Bibsonomy ICATPN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous communication mechanisms, model checking, Petri nets, protocols, synthesis, concurrent systems
19Congcong Li, Guangda Su, Yan Shang, Yingchun Li, Yan Xiang Face Recognition Based on Pose-Variant Image Synthesis and Multi-level Multi-feature Fusion. Search on Bibsonomy AMFG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF face synthesis, pose variance, Face recognition, fusion method, Gabor
19Yijun Liu, Wenyuan Niu, Jifa Gu Exploring Computational Scheme of Complex Problem Solving Based on Meta-Synthesis Approach. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF group argumentation, multi-agent system, meta-synthesis
19Huizhang Shen, Jidi Zhao, Huanchen Wang A Hidden Pattern Discovery and Meta-synthesis of Preference Adjustment in Group Decision-Making. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hidden pattern, group decision-making, meta-synthesis
19Dimitrios Tsonos, Gerasimos Xydas, Georgios Kouroupetroglou A Methodology for Reader's Emotional State Extraction to Augment Expressions in Speech Synthesis. Search on Bibsonomy ICTAI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF emotional state extraction­annotation of documents, expressive speech synthesis, document-to- audio, speech representation of text formatting
19Daniel Große, Xiaobo Chen, Gerhard W. Dueck, Rolf Drechsler Exact sat-based toffoli network synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis, minimization, boolean satisfiability, reversible logic, quantum circuits
19Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang Crosstalk minimization in logic synthesis for PLAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF synthesis, Crosstalk, PLA, domino logic
19Alessandro Pinto, Alvise Bonivento, Alberto L. Sangiovanni-Vincentelli, Roberto Passerone, Marco Sgroi System level design paradigms: Platform-based design and communication synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Embedded systems, platform-based design, communication synthesis
19André C. Nácul, Tony Givargis Synthesis of time-constrained multitasking embedded software. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Code serialization, multitasking, software synthesis, real-time embedded software
19Hong Chen, Song Chun Zhu A Generative Sketch Model for Human Hair Analysis and Synthesis. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hair analysis and synthesis, flow patterns, texture, generative models, nonphotorealistic rendering, Hair modeling, orientation field
19John McAllister, Roger F. Woods, Richard L. Walke, Darren Gerard Reilly Multidimensional DSP Core Synthesis for FPGA. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF rapid implementation, field programmable gate array, heterogeneous system, system level design, dataflow graph, Architectural synthesis
19Michal Aharon, Ron Kimmel Representation Analysis and Synthesis of Lip Images Using Dimensionality Reduction. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF automatic lipreading, multidimensional scaling, dimension reduction, speech synthesis, locally linear embedding, image sequence processing
19Jean-Michel Dischler, Florence Zara Real-time structured texture synthesis and editing using image-mesh analogies. Search on Bibsonomy Vis. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Synthesis, Texture, Editing
Displaying result #601 - #700 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license