The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Soumyaroop Roy, Srinivas Katkoori, Nagarajan Ranganathan A Compiler Based Leakage Reduction Technique by Power-Gating Functional Units in Embedded Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Imad A. Ferzli, Farid N. Najm Analysis and verification of power grids considering process-induced leakage-current variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Domenik Helms, Marko Hoyer, Wolfgang Nebel Accurate PTV, State, and ABB Aware RTL Blackbox Modeling of Subthreshold, Gate, and PN-Junction Leakage. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Guangyu Chen, Feihui Li, Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu Leakage-Aware SPM Management. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mahmut T. Kandemir, Guangyu Chen, Feihui Li, Mary Jane Irwin, Ibrahim Kolcu Activity clustering for leakage management in SPMs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Tadayoshi Enomoto, Nobuaki Kobayashi A low dynamic power and low leakage power 90-nm CMOS square-root circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Koichi Ishida, Atit Tamtrakarn, Takayasu Sakurai A 0.5-V sigma-delta modulator using analog T-switch scheme for the subthreshold leakage suppression. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ding-Ming Kwai, Ching-Hua Hsiao, Chung-Ping Kuo, Chi-Hsien Chuang, Min-Chung Hsu, Yi-Chun Chen, Yu-Ling Sung, Hsien-Yu Pan, Chia-Hsin Lee, Meng-Fan Chang, Yung-Fa Chou SRAM Cell Current in Low Leakage Design. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Yu Wang 0002, Yongpan Liu, Rong Luo, Huazhong Yang Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization. Search on Bibsonomy ICNC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Saket Kaushik, William H. Winsborough, Duminda Wijesekera, Paul Ammann Policy Transformations for Preventing Leakage of Sensitive Information in Email Systems. (PDF / PS) Search on Bibsonomy DBSec The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sheng-Chih Lin, Kaustav Banerjee An electrothermally-aware full-chip substrate temperature gradient evaluation methodology for leakage dominant technologies with implications for power estimation and hot-spot management. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Low power low leakage clock gated static pulsed flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Saraju P. Mohanty, Elias Kougianos, Ramakrishna Velagapudi, Valmiki Mukherjee Scheduling and binding for low gate leakage nanoCMOS datapath circuit synthesis. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Akhilesh Kumar, Mohab Anis Dual-Vt Design of FPGAs for Subthreshold Leakage Tolerance. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ali Bastani, Charles A. Zukowski A Low-Leakage High-Speed Monotonic Static CMOS 64b Adder in a Dual Gate Oxide 65-nm CMOS Technology. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21R. Venkatraman, R. Castagnetti, S. Ramesh 0004 The Statistics of Device Variations and its Impact on SRAM Bitcell Performance, Leakage and Stability. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Peng Li 0001 Critical Path Analysis Considering Temperature, Power Supply Variations and Temperature Induced Leakage. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Minh Quang Do, Mindaugas Drazdziulis, Per Larsson-Edefors, Lars Bengtsson Parameterizable Architecture-Level SRAM Power Model Using Circuit-Simulation Backend for Leakage Calibration. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rajan Konar, Rajarshee P. Bharadwaj, Dinesh Bhatia, Poras T. Balsara Exploring Logic Block Granularity in Leakage Tolerant FPGA. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Stefan Mangard, Kai Schramm Pinpointing the Side-Channel Leakage of Masked AES Hardware Implementations. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Zero-Offset DPA, Zero-Input DPA, Delay Chains, AES, DPA, Masking, Glitches
21Karsten Nohl, David Evans 0001 Quantifying Information Leakage in Tree-Based Hash Protocols (Short Paper). Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Guillaume Duc, Ronan Keryell CryptoPage: An Efficient Secure Architecture with Memory Encryption, Integrity and Information Leakage Protection. Search on Bibsonomy ACSAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Changbo Long, Jinjun Xiong, Yongpan Liu Techniques of Power-gating to Kill Sub-Threshold Leakage. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rajeev R. Rao, Harmander Deogun, David T. Blaauw, Dennis Sylvester Bus encoding for total power reduction using a leakage-aware buffer configuration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hui Xiong 0001, Michael S. Steinbach, Vipin Kumar 0001 Privacy leakage in multi-relational databases via pattern based semi-supervised learning. Search on Bibsonomy CIKM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF semi-supervised learning, database security, privacy preserving data mining, hyperclique patterns
21Yuanlin Lu, Vishwani D. Agrawal Leakage and Dynamic Glitch Power Minimization Using Integer Linear Programming for Vth Assignment and Path Balancing. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A leakage-aware CAD flow for MTCMOS FPGA architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hassan Hassan 0001, Mohab Anis, Antoine El Daher, Mohamed I. Elmasry Activity Packing in FPGAs for Leakage Power Reduction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21SeongHan Shin, Kazukuni Kobara, Hideki Imai Efficient and Leakage-Resilient Authenticated Key Transport Protocol Based on RSA. Search on Bibsonomy ACNS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21SeongHan Shin, Kazukuni Kobara, Hideki Imai A Simplified Leakage-Resilient Authenticated Key Exchange Protocol with Optimal Memory Size. Search on Bibsonomy ICN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jente B. Kuang, Hung C. Ngo, Kevin J. Nowka, Jethro C. Law, Rajiv V. Joshi A Low-Overhead Virtual Rail Technique for SRAM Leakage Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Joachim Neves Rodrigues, Thomas Olsson 0001, Leif Sörnmo, Viktor Öwall A dual-mode wavelet based R-wave detector using single-Vt for leakage reduction [cardiac pacemaker applications]. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Canh Quang Tran, Hiroshi Kawaguchi 0001, Takayasu Sakurai More than two orders of magnitude leakage current reduction in look-up table for FPGAs. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Tadayoshi Enomoto, Nobuaki Kobayashi A low dynamic power and low leakage power CMOS square-root circuit. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ye Zhu 0001, Riccardo Bettati Anonymity vs. Information Leakage in Anonymity Systems. Search on Bibsonomy ICDCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Anonymity, Covert Channels, Mix Networks
21Farshad Moradi, Hamid Mahmoodi-Meimand, Ali Peiravi A high speed and leakage-tolerant domino logic for high fan-in gates. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high speed, noise immunity, deep submicron, fan-in, domino
21Mini Nanua, David T. Blaauw, Chanhee Oh Leakage Current Modeling in PD SOI Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Claudio Talarico, B. Pillilli, K. L. Vakati, Janet M. Wang Early Assessment of Leakage Power for System Level Design. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yan Lin 0001, Lei He 0001 Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable-Vdd, time slack, FPGA, low power
21Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar Transistor and Pin Reordering for Gate Oxide Leakage Reduction in Dual T{ox} Circuits. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Keoncheol Shin, Taewhan Kim Leakage power minimization for the synthesis of parallel multiplier circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synthesis, power optimization
21Man Lung Mui, Kaustav Banerjee, Amit Mehrotra Power Supply Optimization in sub-130 nm Leakage Dominant Technologies . Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Peilin Song, Franco Stellari, Alan J. Weger, Tian Xia A Novel Scan Chain Diagnostics Technique Based on Light Emission from Leakage Current. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Howard H. Chen, J. Scott Neely, Michael F. Wang, Gricel Co On-Chip Decoupling Capacitor Optimization for Noise and Leakage Reduction. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Rahul M. Rao, Frank Liu 0001, Jeffrey L. Burns, Richard B. Brown A Heuristic to Determine Low Leakage Sleep State Vectors for CMOS Combinational Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Antoni Ferré, Joan Figueras Leakage power bounds in CMOS digital technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Ali Keshavarzi, James W. Tschanz, Siva G. Narendra, Vivek De, W. Robert Daasch, Kaushik Roy 0001, Manoj Sachdev, Charles F. Hawkins Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Chris H. Kim, Kaushik Roy 0001 Dynamic Vt SRAM: a leakage tolerant cache memory for low voltage microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Bedabrata Pain, Suresh Seshadri, Monico Ortiz, Chris Wrigley, Guang Yang 0003 CMOS imager with charge-leakage compensated frame difference and sum output. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21José Pineda de Gyvez, Eric van de Wetering Average Leakage Current Estimation of CMOS Logic Circuits. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Yuji Watanabe, Hideki Imai Shared Generation of Random Number with Timestamp: How to Cope with the Leakage of the CA's Secret. Search on Bibsonomy Public Key Cryptography The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Danesh Irani, Steve Webb, Calton Pu, Kang Li 0001 Modeling Unintended Personal-Information Leakage from Multiple Online Social Networks. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF personal information leakage, Social networks, security and privacy
21Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-Aware NBTI Modeling and the Impact of Standby Leakage Reduction Techniques on Circuit Performance Degradation. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF temperature-aware NBTI modeling, circuit performance degradation, Negative bias temperature instability (NBTI), leakage reduction
21Qingsong Yao, Jinsong Han, Yong Qi, Lei Yang 0025, Yunhao Liu 0001 Privacy Leakage in Access Mode: Revisiting Private RFID Authentication Protocols. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF access mode, privacy leakage, proven private, RFID, authentication protocol
21Mirko Loghi, Olga Golubeva, Enrico Macii, Massimo Poncino Architectural Leakage Power Minimization of Scratchpad Memories by Application-Driven Subbanking. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memory hierarchy, leakage power, Power optimization, scratchpad memory, partitioning algorithm, embedded design
21Yunchuan Guo, Lihua Yin, Yuan Zhou, Binxing Fang Quantifying Information Leakage for Fully Probabilistic Systems. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Information Leakage, Quantitative Measure, aMI
21Vivek Chaturvedi, Huang Huang, Gang Quan Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage-aware, scheduling, real-time, operating systems, thermal-aware
21Yongli Zhu, Yuanqing Huang Application of EMD in the De-noise of Insulator Leakage Current. Search on Bibsonomy IFITA (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF insulators, end effect, noise, leakage current
21Gang Quan, Yan Zhang Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real time scheduling, leakage, temperature, feasibility analysis, thermal aware
21Subhamoy Maitra, Goutam Paul 0001 New Form of Permutation Bias and Secret Key Leakage in Keystream Bytes of RC4. Search on Bibsonomy FSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Key Leakage, Cryptanalysis, Stream Cipher, Bias, RC4, Keystream
21Sheng Lin 0006, Yong-Bin Kim, Fabrizio Lombardi A low leakage 9t sram cell for ultra-low power operation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sram cell, low power, nanotechnology, leakage power, static noise margin
21Yoshiki Sameshima, Hideaki Saisho, Tsutomu Matsumoto, Norihisa Komoda Windows Vault: Prevention of Virus Infection and Secret Leakage with Secure OS and Virtual Machine. Search on Bibsonomy WISA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF secret leakage, secure OS, virtual machine, information flow, virus
21Deniz Dal, Nazanin Mansouri A high-level register optimization technique for minimizing leakage and dynamic power. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power islands, register optimization, partitioning, HLS, high level synthesis, leakage, DSM
21Harmander Deogun, Robert M. Senger, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka A dual-VDD boosted pulsed bus technique for low power and low leakage operation. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pulsed bus, leakage, repeaters, Dual-VDD
21Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii Low-overhead state-retaining elements for low-leakage MTCMOS design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MTCMOS design, state-retention, leakage power
21Jason Helge Anderson, Farid N. Najm, Tim Tuan Active leakage power optimization for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, FPGAs, field-programmable gate arrays, low-power design, power, leakage
21Koushik K. Das, Rajiv V. Joshi, Ching-Te Chuang, Peter W. Cook, Richard B. Brown New optimal design strategies and analysis of ultra-low leakage circuits for nano-scale SOI technology. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI, high-performance, leakage-power, circuits, SOI
21Louis S. Y. Wong, Shohan Hossain, Andre Walker Leakage current cancellation technique for low power switched-capacitor circuits. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF sample and hold, low power, analog, leakage current, switched-capacitor circuit, amplifier
21Robert C. Aitken Diagnosis of leakage faults with IDDQ. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF leakage fault model, Fault diagnosis, I DDQ testing
18Tung-Tso Tsai, Yuh-Min Tseng, Sen-Shan Huang Leakage-Resilient Certificateless Signcryption Scheme Under a Continual Leakage Model. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Fanchao Kong, Cheng Hu 0005, Leimin Wang, Tingwen Huang Fixed-Time Stabilization of Leakage-Delayed Neural Networks Modeled by Delayed Filippov Systems: Leakage-Delay-Dependent Settling-Time. Search on Bibsonomy IEEE Trans. Netw. Sci. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Atefeh Gilani, Gowtham R. Kurri, Oliver Kosut, Lalitha Sankar (α, β)-Leakage: A Unified Privacy Leakage Measure. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Alper Çakan, Vipul Goyal, Chen-Da Liu-Zhang, João Ribeiro 0002 Unbounded Leakage-Resilience and Leakage-Detection in a Quantum World. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
18Seny Kamara, Tarik Moataz Bayesian Leakage Analysis: A Framework for Analyzing Leakage in Encrypted Search. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
18Shuyu Zhang, Shangran Xie, Yuanzhi Li, Mengqi Yuan, Xinming Qian Detection of Gas Pipeline Leakage Using Distributed Optical Fiber Sensors: Multi-Physics Analysis of Leakage-Fiber Coupling Mechanism in Soil Environment. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Dillibabu Shanmugam, Patrick Schaumont Improving Side-channel Leakage Assessment Using Pre-silicon Leakage Models. Search on Bibsonomy COSADE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Deepak Mittal SRAM Cell Leakage Reduction Methodologies for Low Leakage Cache Memories. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Bu-Il Nam, Jayang Yoon, Kyunghea Lee, Sol Kim, Junhong Park, Chiweon Yoon, Eunkyoung Kim Integrated Circuit to Compensate Parasitic Leakage Component for WL Leakage Current in NAND Flash Memory. Search on Bibsonomy A-SSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Ganesh Del Grosso Leakage of Sensitive Data from Deep Neural Networks. (Leakage of Sensitive Data from Deep Neural Networks). Search on Bibsonomy 2023   RDF
18Lei Xu 0034, Xiaoyong Zhu, Wenye Wu, Wenjie Fan, Xue Zhou, Xiaolei Cai, Li Quan Flux-Leakage Design Principle and Multiple-Operating Conditions Modeling of Flux Leakage Controllable PM Machine Considering Driving Cycles. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Saba Tamizi, Mehdi Bijari, Mehdi Khashei Analysis of effective factors on gas leakage of polyethylene pipes for the intelligent forecasting of leakage degree. Search on Bibsonomy Iran J. Comput. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Tung-Tso Tsai, Yuh-Min Tseng, Sen-Shan Huang, Jia-Yi Xie, Ying-Hao Hung Leakage-Resilient Anonymous Multi-Recipient Signcryption Under a Continual Leakage Model. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Vahhab Samadi Bokharaie, Ali Jahanian 0001 Power side-channel leakage assessment and locating the exact sources of leakage at the early stages of ASIC design process. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Sami Hamdan, Bradley C. Love, Georg G. von Polier, Susanne Weis, Holger Schwender, Simon B. Eickhoff, Kaustubh R. Patil Confound-leakage: Confound Removal in Machine Learning Leads to Leakage. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Amirreza Zamani, Tobias J. Oechtering, Mikael Skoglund Data Disclosure With Non-Zero Leakage and Non-Invertible Leakage Matrix. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ben Marshall, Dan Page, James Webb MIRACLE: MIcRo-ArChitectural Leakage Evaluation A study of micro-architectural power leakage across many devices. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hemanta K. Maji, Hai H. Nguyen, Anat Paskin-Cherniavsky, Tom Suad, Mingyuan Wang 0001, Xiuyu Ye, Albert Yu 0003 Leakage-resilient Linear Secret-sharing Against Arbitrary Bounded-size Leakage Family. Search on Bibsonomy TCC (1) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Qiqi Lai, Feng-Hao Liu, Zhedong Wang Leakage-Resilient IBE/ ABE with Optimal Leakage Rates from Lattices. Search on Bibsonomy Public Key Cryptography (2) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hyunmi Lee, Jeong-Ah Jang, Yongju Yi, Si Woo Kim Evaluation of Hydrogen Safety Leakage Risk using Pseudo Hydrogen Leakage(%). Search on Bibsonomy ICTC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Si Gao, Elisabeth Oswald, Dan Page Towards Micro-architectural Leakage Simulators: Reverse Engineering Micro-architectural Leakage Features Is Practical. Search on Bibsonomy EUROCRYPT (3) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Dian Ding, Lanqing Yang, Yi-Chao Chen 0001, Guangtao Xue Leakage or Identification: Behavior-irrelevant User Identification Leveraging Leakage Current on Laptops. Search on Bibsonomy Proc. ACM Interact. Mob. Wearable Ubiquitous Technol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Neetika Yadav, Neeta Pandey, Deva Nand Leakage reduction in dual mode logic through gated leakage transistors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Mohammad Moradinezhad Maryan, Majid Amini Valashani, Seyed Javad Azhari An input controlled leakage restrainer transistor-based technique for leakage and short-circuit power reduction of 1-bit hybrid full adders. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Amirreza Zamani, Tobias J. Oechtering, Mikael Skoglund Data Disclosure with Non-zero Leakage and Non-invertible Leakage Matrix. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Amir Ahooye Atashin, Behrooz Razeghi, Deniz Gündüz, Slava Voloshynovskiy Variational Leakage: The Role of Information Complexity in Privacy Leakage. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Qiqi Lai, Feng-Hao Liu, Zhedong Wang Leakage-Resilient IBE/ABE with Optimal Leakage Rates from Lattices. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
18Corentin Pochet, Haowei Jiang, Drew A. Hall Ultra-Low Leakage ESD Protection Achieving 10.5 fA Leakage. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Amir Ahooye Atashin, Behrooz Razeghi, Deniz Gündüz, Slava Voloshynovskiy Variational Leakage: The Role of Information Complexity in Privacy Leakage. Search on Bibsonomy WiseML@WiSec The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Jui-Di Wu, Yuh-Min Tseng, Sen-Shan Huang, Tung-Tso Tsai Leakage-Resilient Certificate-based Key Encapsulation Scheme Resistant to Continual Leakage. Search on Bibsonomy IEEE Open J. Comput. Soc. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Benjamin Wu, Aaron B. Wagner, G. Edward Suh A Case for Maximal Leakage as a Side Channel Leakage Metric. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
Displaying result #601 - #700 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license