The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Decoupling-Capacitor Planning and Sizing for Noise and Leakage Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Paulo F. Butzen, André Inácio Reis, Chris H. Kim, Renato P. Ribas Subthreshold Leakage Modeling and Estimation of General CMOS Complex Gates. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sastry Konduri, Brajendra Panda, Wing-Ning Li Monitoring Information Leakage During Query Aggregation. Search on Bibsonomy ICDCIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kerstin Lemke-Rust, Christof Paar Analyzing Side Channel Leakage of Masked Implementations with Stochastic Methods. Search on Bibsonomy ESORICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Boolean Masking, Multivariate Side Channel Analysis, Higher-Order Side Channel Analysis, Stochastic Methods, Side Channel Cryptanalysis
15Rajiv V. Joshi, Keunwoo Kim, Richard Q. Williams, Edward J. Nowak, Ching-Te Chuang A High-Performance, Low Leakage, and Stable SRAM Row-Based Back-Gate Biasing Scheme in FinFET Technology. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15De-Shiuan Chiou, Da-Cheng Juan, Yu-Ting Chen, Shih-Chieh Chang Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii Enabling fine-grain leakage management by voltage anchor insertion. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Arijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy 0001 Ultralow power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Benjamin Aziz Measuring the Speed of Information Leakage in Mobile Processes. Search on Bibsonomy AMAST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Somchai Chatvichienchai, Mizuho Iwaihara Detecting Information Leakage in Updating XML Documents of Fine-Grained Access Control. Search on Bibsonomy DEXA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ralf Salomon, Frank Sill Biologically-Inspired Optimization of Circuit Performance and Leakage: A Comparative Study. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ja Chun Ku, Yehea I. Ismail Area optimization for leakage reduction and thermal stability in nanometer scale technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ismail Kadayif, Mahmut T. Kandemir, Feihui Li Prefetching-aware cache line turnoff for saving leakage energy. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Elias Kougianos, Saraju P. Mohanty Effective tunneling capacitance: a new metric to quantify transient gate leakage current. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Harmander Deogun, Dennis Sylvester, Kevin J. Nowka Fine grained multi-threshold CMOS for enhanced leakage reduction. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Qing Wu, Subhasis Nandi A Novel Approach to Calculate Squirrel Cage Induction Motor Rotor Leakage Inductance. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Koushik K. Das, Shih-Hsien Lo, Ching-Te Chuang High Performance MTCMOS Technique for Leakage Reduction in Hybrid SOI-Epitaxial Technologies with Enhanced-Mobility PFET Header. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Zhimin Chen, Yujie Zhou Dual-Rail Random Switching Logic: A Countermeasure to Reduce Side Channel Leakage. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gate Level Masking, DRSL, Dual-Rail, Pre-charge, Side Channel Attacks, DPA
15Arijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy 0001 Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Shadi T. Khasawneh, Kanad Ghose An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Charan Thondapu, Praveen Elakkumanan, Ramalingam Sridhar RG-SRAM: A Low Gate Leakage Memory Design. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz, Petru Eles Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Rachid Echahed, Frédéric Prost Handling declared information leakage: extended abstract. Search on Bibsonomy WITS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Xin Lu, Yuzhuo Fu Reducing leakage power in instruction cache using WDC for embedded processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Panagiotis Katsaros On the Design of Access Control to Prevent Sensitive Information Leakage in Distributed Object Systems: A Colored Petri Net Based Model. Search on Bibsonomy OTM Conferences (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Soheil Ghiasi Efficient Implementation Selection via Time Budgeting Complexity Analysis and Leakage Optimization Case Study. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Teng-Hung Chang, Lan-Rong Dung, Jwin-Yen Guo On reducing leakage quantization noise of multistage Sigma-Delta modulator using nonlinear oscillation. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Koichi Ishida, Kouichi Kanda, Atit Tamtrakarn, Hiroshi Kawaguchi 0001, Takayasu Sakurai Subthreshold-leakage suppressed switched capacitor circuit based on super cut-off CMOS (SCCMOS). Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Magnus Själander, Mindaugas Drazdziulis, Per Larsson-Edefors, Henrik Eriksson A low-leakage twin-precision multiplier using reconfigurable power gating. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny Low-leakage repeaters for NoC interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Daisuke Suzuki, Minoru Saeki, Tetsuya Ichikawa DPA Leakage Models for CMOS Logic Circuits. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Simon D. Byers Information Leakage Caused by Hidden Data in Published Documents. Search on Bibsonomy IEEE Secur. Priv. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hidden data, data security, web publishing
15Geoff V. Merrett, Bashir M. Al-Hashimi Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Christian Schuster, Jean-Luc Nagel, Christian Piguet, Pierre-André Farine Leakage Reduction at the Architectural Level and Its Application to 16 Bit Multiplier Architectures. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Mahadevan Gomathisankaran, Akhilesh Tyagi WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Pietro Babighian, Luca Benini, Enrico Macii Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Xiangrong Wang, Jun Xu, Christopher H. Pham An Effective Method to Detect Software Memory Leakage Leveraged from Neuroscience Principles Governing Human Memory Behavior. Search on Bibsonomy ISSRE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Donald Chai, Andreas Kuehlmann Circuit-Based Preprocessing of ILP and Its Applications in Leakage Minimization and Power Estimation. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Bhaskar Chatterjee, Manoj Sachdev, Ram Krishnamurthy 0001 Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for sub-130 nm CMOS Technologies. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Stas Polonsky, Keith A. Jenkins, Alan J. Weger, Shinho Cho CMOS IC diagnostics using the luminescence of OFF-state leakage currents. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Farzan Farbiz, M. Farazian, M. Emadi, K. Sadeghi Sizing Consideration for Leakage Control Transistor. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Nam Sung Kim, Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner, Jie S. Hu, Mary Jane Irwin, Mahmut T. Kandemir, Narayanan Vijaykrishnan Leakage Current: Moore's Law Meets Static Power. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Hiroomi Hikawa Pulse mode neuron with leakage integrator and additive random noise. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Koushik K. Das, Richard B. Brown Ultra Low-Leakage Power Strategies for Sub-1 V VLSI: Novel Circuit Styles and Design Methodologies for Partially Depleted Silicon-On-Insulator (PD-SOI) CMOS Technology. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Chen Chen 0018, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H.-S. Philip Wong, Subhasish Mitra Efficient FPGAs using nanoelectromechanical relays. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS-NEM FPGA, nanoelectromechanical relay
12Jungwook Kim, Seong Tae Jhang, Chu Shik Jhon Dynamic register-renaming scheme for reducing power-density and temperature. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded operating systems for mobile computing, power-density minimization, renaming scheme, register file, thermal management
12Yongchan Ban, Savithri Sundareswaran, David Z. Pan Total sensitivity based dfm optimization of standard library cells. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, VLSI, sensitivity, DFM, lithography
12Ashutosh Chakraborty, David Z. Pan PASAP: power aware structured ASIC placement. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured ASICS, low power, placement, regular fabrics
12Andrea Acquaviva, Andrea Calimera, Alberto Macii, Massimo Poncino, Enrico Macii, Matteo Giaconia, Claudio Parrella An integrated thermal estimation framework for industrial embedded platforms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF system-on-chip, power, estimation, thermal
12Peng Zhou, JiangHe Yao, JiuLing Pei Implementation of an energy-efficient scheduling scheme based on pipeline flux leak monitoring networks. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic modulation scaling, reclaiming scheme, sensor networks, real-time scheduling, EDF
12Prateek Mishra, Anish Muttreja, Niraj K. Jha Low-power FinFET circuit synthesis using multiple supply and threshold voltages. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low-power, linear programming, synthesis, TCMS
12Xiangrong Zhou, Chenjie Yu, Peter Petrov Temperature-aware register reallocation for register file power-density minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Nagarajan Ranganathan, Upavan Gupta, Venkataraman Mahalingam Variation-aware multimetric optimization during gate sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, delay, power, mathematical programming, Gate sizing, crosstalk noise
12Kameswar Rao Vaddina, Ethiopia Nigussie, Pasi Liljeberg, Juha Plosila Self-timed thermal sensing and monitoring of multicore systems. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Marius Enachescu, Sorin Cotofana, Arjan J. van Genderen, Dimitrios Tsamados, Adrian M. Ionescu Can SG-FET Replace FET in Sleep Mode Circuits? Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SG-FET, power gating, sleep transistor
12Kotaro Fukui, Yuma Ishikawa, Keisuke Ohno, Nana Sakakibara, Masaaki Honda, Atsuo Takanishi Three dimensional tongue with liquid sealing mechanism for improving resonance on an anthropomorphic talking robot. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Pingqiang Zhou, Karthikk Sridharan, Sachin S. Sapatnekar Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Mesut Meterelliyoz, Kaushik Roy 0001 Design for burn-in test: a technique for burn-in thermal stability under die-to-die parameter variations. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Chunyan Mu, David Clark 0001 Quantitative Analysis of Secure Information Flow via Probabilistic Semantics. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12François-Xavier Standaert, François Koeune, Werner Schindler How to Compare Profiled Side-Channel Attacks?. Search on Bibsonomy ACNS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Shafi Goldwasser Cryptography without (Hardly Any) Secrets ? Search on Bibsonomy EUROCRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Domenik Helms, Kai Hylla, Wolfgang Nebel Hybrid logical-statistical simulation with thermal and IR-drop mapping for degradation and variation prediction. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF electro-thermal coupling, system level estimation, reliability, thermal modelling, IR-drop
12Nam Sung Kim, Jun Seomun, Abhishek A. Sinkar, Jungseob Lee, Tae Hee Han, Ken Choi, Youngsoo Shin Frequency and yield optimization using power gates in power-constrained designs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, yield, power gate, frequency
12Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos Unified P4 (power-performance-process-parasitic) fast optimization of a Nano-CMOS VCO. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual oxide technology, nano-cmos, performance aware design, vco, process variation, parasitics, power aware design
12Khawla Alzoubi, Daniel G. Saab, Massood Tabib-Azar Complementary nano-electromechanical switches for ultra-low power embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nems, cmos, switch, device, ultra-low power
12Thom Jefferson A. Eguia, Ning Mi, Sheldon X.-D. Tan Statistical decoupling capacitance allocation by efficient numerical quadrature method. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Berkant Ustaoglu Comparing SessionStateReveal and EphemeralKeyReveal for Diffie-Hellman Protocols. Search on Bibsonomy ProvSec The full citation details ... 2009 DBLP  DOI  BibTeX  RDF leaking ephemeral secrets, postponed ephemeral key derivation, pseudo-static keys, Key agreement protocols
12Saraju P. Mohanty Unified Challenges in Nano-CMOS High-Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Jawar Singh, Jimson Mathew, Saraju P. Mohanty, Dhiraj K. Pradhan Single Ended Static Random Access Memory for Low-Vdd, High-Speed Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Bardia Bozorgzadeh, Ali Afzali-Kusha Novel MOS Decoupling Capacitor Optimization Technique for Nanotechnologies. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Jian Wang 0037, Rui Chen 0007, Pengyan Zhang, Ningjie Yu Fault tree analysis in city lifeline system operations and management-theory and application. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF city lifeline system, city operations and management, triangular fuzzy number, fault tree analysis
12Lejla Batina, Benedikt Gierlichs, Kerstin Lemke-Rust Differential Cluster Analysis. Search on Bibsonomy CHES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Differential Cluster Analysis, AES Hardware, Differential Power Analysis, Collision Attacks, Side-channel Cryptanalysis
12Minoru Saeki, Daisuke Suzuki, Koichi Shimizu, Akashi Satoh A Design Methodology for a DPA-Resistant Cryptographic LSI with RSL Techniques. Search on Bibsonomy CHES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Hsien-Te Chen, Chieh-Chun Chang, TingTing Hwang New spare cell design for IR drop minimization in Engineering Change Order. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cell, IR drop, decoupling capacitor, ECO
12Lide Zhang, Lan S. Bai, Robert P. Dick, Li Shang, Russ Joseph Process variation characterization of chip-level multiprocessors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software, process variation, characterization
12Jungseob Lee, Nam Sung Kim Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multicore processor, DVFS, power gating
12Stephen Farrell Portable Storage and Data Loss. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Philippe Golle, Frank McSherry, Ilya Mironov Data Collection with Self-Enforcing Privacy. Search on Bibsonomy ACM Trans. Inf. Syst. Secur. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF privacy, data collection
12Jin Sun 0006, Jun Li 0066, Dongsheng Ma, Janet Meiling Wang Chebyshev Affine-Arithmetic-Based Parametric Yield Prediction Under Limited Descriptions of Uncertainty. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong Fast Variational Analysis of On-Chip Power Grids by Stochastic Extended Krylov Subspace Method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Frida Eng, Fredrik Gunnarsson, Fredrik Gustafsson Frequency Domain Analysis of Signals With Stochastic Sampling Times. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Karsten Nohl, David Evans 0001 Hiding in Groups: On the Expressiveness of Privacy Distributions. Search on Bibsonomy SEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Basuki E. Priyanto, Troels B. Sørensen, Ole K. Jensen In-Band Interference Effects on UTRA LTE Uplink Resource Block Allocation. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jie Zhang 0007, Nishant Patil, Subhasish Mitra Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sungpack Hong, Sungjoo Yoo, Byeong Bin, Kyu-Myung Choi, Soo-Kwan Eo, Taehwan Kim 0007 Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software Runtime Distribution. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Andrea Marongiu, Luca Benini, Andrea Acquaviva, Andrea Bartolini Analysis of Power Management Strategies for a Large-Scale SoC Platform in 65nm Technology. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Zhenghong Wang, Ruby B. Lee A novel cache architecture with enhanced performance and security. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Zhibin Zhou 0001, Dijiang Huang SRK: A Distributed RFID Data Access Control Mechanism. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tao Luo 0002, David Newmark, David Z. Pan Total power optimization combining placement, sizing and multi-Vt through slack distribution management. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jin Sun 0006, Yue Huang, Jun Li 0066, Janet Meiling Wang Chebyshev Affine Arithmetic based parametric yield prediction under limited descriptions of uncertainty. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Dafina Tanase, Niels Komen, Arie Draaijer, Gert-Jan Kleinrensink, Johannes Jeekel, Johan F. Lange, Paddy J. French Tissue-Viability Monitoring Using an Oxygen-Tension Sensor. Search on Bibsonomy BIOSTEC (Selected Papers) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Oxygen-tension, tissue viability, sensor
12Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal Stress aware layout optimization. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Kazumasa Omote, Kazuhiko Kato Protection and Recovery of Disk Encryption Key Using Smart Cards. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Nicholas Allec, Zyad Hassan, Li Shang, Robert P. Dick, Ronggui Yang ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Brian Cline, Vivek Joshi, Dennis Sylvester, David T. Blaauw STEEL: a technique for stress-enhanced standard cell library design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12David Bol, Renaud Ambroise, Denis Flandre, Jean-Didier Legat Analysis and minimization of practical energy in 45nm subthreshold logic circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Naomi Seki, Lei Zhao, Jo Kei, Daisuke Ikebuchi, Yu Kojima, Yohei Hasegawa, Hideharu Amano, Toshihiro Kashima, Seidai Takeda, Toshiaki Shirai, Mitsutaka Nakata, Kimiyoshi Usami, Tetsuya Sunata, Jun Kanai, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura A fine-grain dynamic sleep control scheme in MIPS R3000. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license