The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
51Yingchao Zhao 0001, Chun Jason Xue, Minming Li, Bessie C. Hu Energy-aware register file re-partitioning for clustered VLIW architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
51Chung-Wen Huang, Kun-Yuan Hsieh, Jia-Jhe Li, Jenq Kuen Lee Support of Paged Register Files for Improving Context Switching on Embedded Processors. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
51Jonathan K. Lee, Jens Palsberg, Fernando Magno Quintão Pereira Aliased Register Allocation for Straight-Line Programs Is NP-Complete. Search on Bibsonomy ICALP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001, Oguz Ergin Compiler Directed Early Register Release. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51David Fang, Rajit Manohar Non-Uniform Access Asynchronous Register Files. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Yin Ma, Steve Carr 0001, Rong Ge Low-Cost Register-Pressure Prediction for Scalar Replacement Using Pseudo-Schedules. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Rubén González 0001, Adrián Cristal, Daniel Ortega, Alexander V. Veidenbaum, Mateo Valero A Content Aware Integer Register File Organization. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Reducing the complexity of the register file in dynamic superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
51Mikhail Smelyanskiy, Gary S. Tyson, Edward S. Davidson Register Queues: A New Hardware/Software Approach to Efficient Software Pipelining. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51David A. Berson, Rajiv Gupta 0001, Mary Lou Soffa Integrated Instruction Scheduling and Register Allocation Techniques. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
50Xiaotong Zhuang, Santosh Pande Allocating architected registers through differential encoding. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architected register, differential encoding, Register allocation
49Yi Qian, Steve Carr 0001, Philip H. Sweany Loop fusion for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustered VLIW architectures, loop fusion
49Peter R. Mattson, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens Communication Scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
47Jun Yan 0008, Wei Zhang 0002 Virtual Registers: Reducing Register Pressure Without Enlarging the Register File. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Florent Bouchez, Alain Darte, Christophe Guillon, Fabrice Rastello Register Allocation: What Does the NP-Completeness Proof of Chaitin et al. Really Prove? Or Revisiting Register Allocation: Why and How. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Evelyn Duesterwald, Rajiv Gupta 0001, Mary Lou Soffa Register Pipelining: An Integrated Approach to Register Allocation for Scalar and Subscripted Variables. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
47Hai Lin 0004, Yunsi Fei Utilizing custom registers in application-specific instruction set processors for register spills elimination. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF custom register, ASIP, register file
47Alex Gontmakher, Avi Mendelson, Assaf Schuster, Gregory Shklover Code Compilation for an Explicitly Parallel Register-Sharing Architecture. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register sharing, explicitly parallel code, optimizations, multithreading, register allocation, Fine grain parallelization
47Andrew Klapper, Jinzhong Xu Register Synthesis for Algebraic Feedback Shift Registers Based on Non-Primes. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register synthesis, N-adic numbers, stream cipher, pseudorandom generator, feedback shift register
47Rad Silvera, Jian Wang, Ramaswamy Govindarajan, Guang R. Gao A Register Pressure Sensitive Instruction Scheduler for Dynamic Issue Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Scheduling, register renaming, out-of-order issue, Register Pressure
47Keith I. Farkas, Norman P. Jouppi, Paul Chow Register File Design Considerations in Dynamically Scheduled Processors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dynamic scheduling, register files, register renaming
47Kanad Ghose, Kiran Raghavendra Desai, Peter M. Kogge Using Method Lookup Caches and Register Windowing to Speed Up Dynamically-Bound Object-Oriented Applications. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF method lookup caches, dynamically-bound object-oriented applications, logical reference, method binding, context allocation, contemporary pipelined datapath, detailed register level simulation, object-oriented programming, object oriented programming languages, register windowing
46Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001, Oguz Ergin Exploring the limits of early register release: Exploiting compiler analysis. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, energy efficiency, Low-power design, microarchitecture, register file
46Taemin Kim, Xun Liu Better than optimum?: register reduction using idle pipelined functional units. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high level synthesis, register binding
46Heiko Falk WCET-aware register allocation based on graph coloring. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register allocation, WCET
46Hongbo Rong, Alban Douillet, Guang R. Gao Register allocation for software pipelined multidimensional loops. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, Software pipelining
46Ting-Wei Hou, Fuh-Gwo Chen An anomaly in an interpreter using GCC source-code-level register allocation. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GCC source-code-level register allocation, performance, interpreter
46Joseph J. Sharkey, Dmitry V. Ponomarev An L2-miss-driven early register deallocation for SMT processors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register files, simultaneous multithreading
46Michel Raynal, Gadi Taubenfeld The notion of a timed register and its application to indulgent synchronization. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF test&set, timing assumption, universal object, wait-free implementation, consensus, mutual exclusion, timing constraint, contention manager, process crash, simplicity, atomic register, renaming, concurrent object, asynchronous shared memory system
46David Ryan Koes, Seth Copen Goldstein A global progressive register allocator. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF progressive solver, register alocation
46Hongbo Rong, Alban Douillet, Guang R. Gao Register allocation for software pipelined multi-dimensional loops. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register allocation, software pipelining
46Patrick Carribault, Albert Cohen 0001 Applications of storage mapping optimization to register promotion. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF array contraction, array folding, scheduling, pattern matching, string matching, tiling, blocking, itanium, register promotion
46Hazem I. Shehata, Mark D. Aagaard A general decomposition strategy for verifying register renaming. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF formal design verification, register renaming, pipelined circuits
46Omri Traub, Glenn H. Holloway, Michael D. Smith 0001 Quality and Speed in Linear-scan Register Allocation. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binpacking, global register allocation, linear scan, graph coloring
46Sandeep Sirsi, Aneesh Aggarwal Exploring the Limits of Port Reduction in Centralized Register Files. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
46Mallik Kandala, Wei Zhang 0002, Laurence Tianruo Yang An Area-Efficient Approach to Improving Register File Reliability against Transient Errors. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Rajkishore Barik, Vivek Sarkar Enhanced Bitwidth-Aware Register Allocation. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Chung-Ju Wu, Sheng-Yuan Chen, Jenq Kuen Lee Copy Propagation Optimizations for VLIW DSP Processors with Distributed Register Files. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Gokhan Memik, Mahmut T. Kandemir, Ozcan Ozturk 0001 Increasing Register File Immunity to Transient Errors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Tadashi Saito, Moto Maeda, Tetsuo Hironaka, Kazuya Tanigawa, Tetsuya Sueyoshi, Ken-ichi Aoyama, Tetsushi Koide, Hans Jürgen Mattausch Design of superscalar processor with multi-bank register file. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Hua Yang, Gang Cui, Xiao-Zong Yang 2L-MuRR: A Compact Register Renaming Scheme for SMT Processors. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Gurhan Kucuk, Oguz Ergin, Dmitry Ponomarev 0001, Kanad Ghose Energy Efficient Register Renaming. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Alex Settle, Daniel A. Connors, Gerolf Hoflehner, Daniel M. Lavery Optimization for the Intel® Itanium ®Architectur Register Stack. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Dae-Hwan Kim, Hyuk-Jae Lee Register Allocation Based on a Reference Flow Analysis. Search on Bibsonomy APLAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Hierarchical Clustered Register File Organization for VLIW Processors. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Peter Petrov, Alex Orailoglu Compiler-Based Register Name Adjustment for Low-Power Embedded Processors. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Erik Johansson, Konstantinos Sagonas Linear Scan Register Allocation in a High-Performance Erlang Compiler. Search on Bibsonomy PADL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Teresa Monreal, Víctor Viñals, Antonio González 0001, Mateo Valero Hardware Schemes for Early Register Release. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Vivek Sarkar, Mauricio J. Serrano, Barbara B. Simons Register-sensitive selection, duplication, and sequencing of instructions. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
46Teresa Monreal, Antonio González 0001, Mateo Valero, José González 0002, Víctor Viñals Delaying Physical Register Allocation through Virtual-Physical Registers. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Dean M. Tullsen, John S. Seng Storageless Value Prediction Using Prior Register Values. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi The anatomy of the register file in a multiscalar processor. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
46Peter Steenkiste, John L. Hennessy A Simple Interprocedural Register Allocation Algorithm and Its Effectiveness for Lisp. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF LISP
46David W. Wall Global register allocation at link time. Search on Bibsonomy SIGPLAN Symposium on Compiler Construction The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
46David W. Wall Global register allocation at link time (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
46Yaqiong Qiu, Yonghua Hu, Yang Li, Zhen Tang, Lin Shi 基于两类寄存器互为缓存方法的DSP寄存器分配溢出处理优化算法 (Optimization Algorithm of Complementary Register Usage Between Two Register Classesin Register Spilling for DSP Register Allocation). Search on Bibsonomy 计算机科学 The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
45Sebastian Hack, Gerhard Goos Copy coalescing by graph recoloring. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graph coloring, register allocation, ssa form
45Yunhe Shi, David Gregg, Andrew Beatty, M. Anton Ertl Virtual machine showdown: stack versus registers. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register architecture, stack architecture, virtual machine, interpreter
44Je-Hyung Lee, Jinpyo Park, Soo-Mook Moon Securing More Registers with Reduced Instruction Encoding Architectures. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Teresa Monreal, Víctor Viñals, José González 0002, Antonio González 0001, Mateo Valero Late Allocation and Early Release of Physical Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Herbert H. J. Hum, Guang R. Gao A Novel High-Speed Memory Organization for Fine-Grain Multi-Thread Computing. Search on Bibsonomy PARLE (1) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
43Xiangrong Zhou, Chenjie Yu, Peter Petrov Temperature-aware register reallocation for register file power-density minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Xiangrong Zhou, Chenjie Yu, Peter Petrov Compiler-driven register re-assignment for register file power-density and temperature reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power-density minimization, thermal management
43Pablo Montesinos, Wei Liu 0014, Josep Torrellas Using Register Lifetime Predictions to Protect Register Files against Soft Errors. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Wann-Yun Shieh, Chien-Chen Chen Exploiting Register-Usage for Saving Register-File Energy in Embedded Processors. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Deepankar Bairagi, Santosh Pande, Dharma P. Agrawal A Framework for Efficient Register Allocation through Selective Register Demotion. Search on Bibsonomy LCR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy A Method for Register Allocation to Loops in Multiple Register File Architectures. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
42Rei Odaira, Takuya Nakaike, Tatsushi Inagaki, Hideaki Komatsu, Toshio Nakatani Coloring-based coalescing for graph coloring register allocation. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF register allocation, register coalescing
42Lal George, Andrew W. Appel Iterated Register Coalescing. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF copy propagation, graph coloring, register allocation, register coalescing
42William Y. Chen, Scott A. Mahlke, Wen-mei W. Hwu, Tokuzo Kiyohara, Pohua P. Chang Tolerating data access latency with register preloading. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF VLIW/superscalar processor, load latency, register preload, register file, data dependence analysis
42Lefteris M. Kirousis, Evangelos Kranakis, Paul M. B. Vitányi Atomic Multireader Register. Search on Bibsonomy WDAG The full citation details ... 1987 DBLP  DOI  BibTeX  RDF regular, atomic, Register, reader, writer, shared register
42Hongbo Rong Tree register allocation. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register allocation, chordal graph
42Yung-Chia Lin, Chia-Han Lu, Chung-Ju Wu, Chung-Lin Tang, Yi-Ping You, Ya-Chiao Moo, Jenq Kuen Lee Effective Code Generation for Distributed and Ping-Pong Register Files: A Case Study on PAC VLIW DSP Cores. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ping-pong register files, clustering, parallel processing, compiler, DSP, VLIW
42Christopher Zimmer 0001, Stephen Roderick Hines, Prasad A. Kulkarni, Gary S. Tyson, David B. Whalley Facilitating compiler optimizations through the dynamic mapping of alternate register structures. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register queues, compiler optimizations, software pipelining
42Philip Brisk, Ajay Kumar Verma, Paolo Ienne An optimistic and conservative register assignment heuristic for chordal graphs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF static single assignment (ssa) form, chordal graph, register assignment
42Koji Ohashi, Mineo Kaneko Extended register-sharing in the synthesis of dual-rail two-phase asynchronous datapath. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-level synthesis, asynchronous circuit, datapath, register binding
42Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose SPARTAN: speculative avoidance of register allocations to transient values for performance and energy efficiency. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF energy-efficiency, register files
42Laura Pozzi, Paolo Ienne Exploiting pipelining to relax register-file port constraints of instruction-set extensions. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic instruction-set extension, constrained scheduling, embedded customised architectures, multi-cycle register access, input/output
42Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Register Constrained Modulo Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Instruction level parallelism, register allocation, instruction scheduling, modulo scheduling, spill code
42Xiaotong Zhuang, Tao Zhang 0037, Santosh Pande Hardware-managed register allocation for embedded processors. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF architected registers, physical registers, embedded systems, power consumption, register allocation
42Jean-Marc Daveau, Thomas Thery, Thierry Lepley, Miguel Santana A retargetable register allocation framework for embedded processors. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register allocation, embedded processors
42José L. Ayala, Alexander V. Veidenbaum, Marisa Luisa López-Vallejo Power-Aware Compilation for Register File Energy Reduction. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF register file management, compiler support, energy aware
42Nam Sung Kim, Trevor N. Mudge The microarchitecture of a low power register file. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
42Volker Barthelmann Inter-task register-allocation for static operating systems. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF context-switch optimization, optimizing for space, register allocation
42Kameswari V. Garigipati, Cindy Norris Evaluating the use of profiling by a region-based register allocator. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF region-based register allocation, profiling
42Vishal P. Bhatt, M. Balakrishnan, Anshul Kumar Exploring the Number of Register Windows in ASIP Synthesis. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Regular language recognition, Processor and memory configuration, ASIP Synthesis, Context switch, Register windows
42Byung-Sun Yang, Soo-Mook Moon, Seongbae Park, Junpyo Lee, SeungIl Lee, Jinpyo Park, Yoo C. Chung, Suhyun Kim, Kemal Ebcioglu, Erik R. Altman LaTTe: A Java VM Just-In-Time Compiler with Fast and Efficient Register Allocation. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Java JIT compilation, register allocation
42Madhavi Gopal Valluri, R. Govindarajan Evaluating Register Allocation and Instruction Scheduling Techniques in Out-Of-Order Issue Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Out-of-order Issue Processors, Instruction-Level Parallelism, Register Allocation, Instruction Scheduling, Integrated Methods
42John Wood, Harold C. Grossman Interprocedural register allocation for RISC machines. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Interprocedural Register Allocation, RISC Computer, Webs, Graph Coloring
41Fernando Magno Quintão Pereira, Jens Palsberg SSA Elimination after Register Allocation. Search on Bibsonomy CC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Ge Zhang, Xu Yang, Yiwei Zhang Architecture Level Energy Modeling and Optimization for Multi-Ported Giga-Hz Physical Register File. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Ming Yang, Lixin Yu, Heping Peng Energy Efficient Register File with Reduced Window Partition. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Balaji V. Iyer, Thomas M. Conte A Power Model for Register-Sharing Structures. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Miquel Pericàs, Rubén González 0001, Adrián Cristal, Alexander V. Veidenbaum, Mateo Valero An Optimized Front-End Physical Register File with Banking and Writeback Filtering. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Zhenyu Liu, Jiayue Qi A Novel Rename Register Architecture and Performance Analysis. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Johan Runeson, Sven-Olof Nyström Retargetable Graph-Coloring Register Allocation for Irregular Architectures. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Santithorn Bunchua, D. Scott Wills, Linda M. Wills Reducing Operand Transport Complexity of Superscalar Processors using Distributed Register Files. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Jessica H. Tseng, Krste Asanovic Banked Multiported Register Files for High-Frequency Superscalar Microprocessors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Vlad Petric, Anne Bracy, Amir Roth Three extensions to register integration. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Krishnan Kailas, Manoj Franklin, Kemal Ebcioglu A Register File Architecture and Compilation Scheme for Clustered ILP Processors. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license