The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Richard B. Kujoth, Chi-Wei Wang, Derek B. Gottlieb, Jeffrey J. Cook, Nicholas P. Carter A reconfigurable unit for a clustered programmable-reconfigurable processor. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, technology scaling, reconfigurable processor
15Anne Bracy, Prashant Prahlad, Amir Roth Dataflow Mini-Graphs: Amplifying Superscalar Capacity and Bandwidth. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Lu Peng 0001, Jih-Kwon Peir, Konrad Lai Signature Buffer: Bridging Performance Gap between Registers and Caches. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sumeet Kumar, Prateek Pujara, Aneesh Aggarwal Bit-Sliced Datapath for Energy-Efficient High Performance Microprocessors. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Ljiljana Dilparic, D. K. Arvind 0001 Design and Evaluation of a Network-Based Asynchronous Architecture for Cryptographic Devices. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Benjamin C. Lee, Richard W. Vuduc, James Demmel, Katherine A. Yelick Performance Models for Evaluation and Automatic Tuning of Symmetric Sparse Matrix-Vector Multiply. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jung Ho Ahn, William J. Dally, Brucek Khailany, Ujval J. Kapasi, Abhishek Das Evaluating the Imagine Stream Architecture. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual flow pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CFP, non-blocking, latency tolerance, instruction window
15Matthias Pflanz, Karsten Walther, Christian Galke, Heinrich Theodor Vierhaus On-Line Techniques for Error Detection and Correction in Processor Registers with Cross-Parity Check. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cross-parity check, on-line BIST, multiple soft-error detection, self-repair
15Weihaw Chuang, Brad Calder, Jeanne Ferrante Phi-Predication for Light-Weight If-Conversion. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Joshua Redstone, Susan J. Eggers, Henry M. Levy Mini-Threads: Increasing TLP on Small-Scale SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Srikumar Ramalingam, Suresh K. Lodha Adaptive Enhancement of 3D Scenes using Hierarchical Registration of Texture-Mapped 3D models. Search on Bibsonomy 3DIM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 3D model registration, scale-sensitive algorithms, hierarchical registration, image registration, 3D Reconstruction
15Chik How Tan, Xun Yi, Chee Kheong Siew A CCA2 Secure Key Encapsulation Scheme Based on 3rd Order Shift Registers. Search on Bibsonomy ACISP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adaptive chosen-ciphertext attack, Public key cryptosystem, shift registers
15Amitabh Menon, S. K. Nandy 0001, Mahesh Mehendale Multivoltage scheduling with voltage-partitioned variable storage. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multivoltage, high level synthesis, datapath synthesis
15Christoforos E. Kozyrakis, David A. Patterson 0001 Overcoming the Limitations of Conventional Vector Processors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Martin Burtscher, Benjamin G. Zorn Hybrid Load-Value Predictors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load-value predictor, performance metrics, Value prediction, value locality, hybrid predictor
15Deshanand P. Singh, Stephen Dean Brown Constrained clock shifting for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Miroslav N. Velev Using Rewriting Rules and Positive Equality to Formally Verify Wide-Issue Out-of-Order Microprocessors with a Reorder Buffer. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Jesús Corbal, Roger Espasa, Mateo Valero Three-dimensional memory vectorization for high bandwidth media memory systems. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Atlaf Ul Amin, Satoshi Ohtake, Hideo Fujiwara Design for Two-Pattern Testability of Controller-Data Path Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Matthias Pflanz, Karsten Walther, Christian Galke, Heinrich Theodor Vierhaus On-Line Error Detection and Correction in Storage Elements with Cross-Parity Check. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Tsuyoshi Ebina, Fumiko Matsumoto, Hiroyuki Ohno Fax Registration of Information about Disaster Victims. Search on Bibsonomy User Interfaces for All The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Seongmoo Heo, Kenneth C. Barr, Mark Hampton, Krste Asanovic Dynamic Fine-Grain Leakage Reduction Using Leakage-Biased Bitlines. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dynamic Leakage Reduction
15J. Adam Butts, Gurindar S. Sohi Dynamic dead-instruction detection and elimination. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Yoon-chan Jhi, Ki-Chang Kim, Kemal Ebcioglu, Yong Surk Lee A Prolog Tailoring Technique on an Epilog Tailored Procedure. Search on Bibsonomy Ershov Memorial Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Krishnan Kailas, Kemal Ebcioglu, Ashok K. Agrawala CARS: A New Code Generation Framework for Clustered ILP Processors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Kenichi Ichino, Takeshi Asakawa, Satoshi Fukumoto, Kazuhiko Iwasaki, Seiji Kajihara Hybrid BIST Using Partially Rotational Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Hyunyoung Lee, Jennifer L. Welch Applications of Probabilistic Quorums to Iterative Algorithms. Search on Bibsonomy ICDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Dynamically allocating processor resources between nearby and distant ILP. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Jay Bharadwaj, William Y. Chen, Weihaw Chuang, Gerolf Hoflehner, Kishore N. Menezes, Kalyan Muthukumar, Jim Pierce The Intel IA-64 Compiler Code Generator. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Soma Chaudhuri, Martha J. Kosa, Jennifer L. Welch One-write algorithms for multivalued regular and atomic registers. Search on Bibsonomy Acta Informatica The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Margarida F. Jacome, Gustavo de Veciana Lower bound on latency for VLIW ASIP datapaths. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15C. John Glossner, Stamatis Vassiliadis Delft-Java Dynamic Translation. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Faith E. Fich, Maurice Herlihy, Nir Shavit On the Space Complexity of Randomized Synchronization. Search on Bibsonomy J. ACM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF lower bounds, consensus, space complexity
15Leonie Simpson, Jovan Dj. Golic, Ed Dawson A Probabilistic Correlation Attack on the Shrinking Generator. Search on Bibsonomy ACISP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cryptography, Stream ciphers, Correlation attacks, Shrinking generator
15Silvina Hanono, Srinivas Devadas Instruction Selection, Resource Allocation, and Scheduling in the AVIV Retargetable Code Generator. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
15Milo M. K. Martin, Amir Roth, Charles N. Fischer Exploiting Dead Value Information. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15O. A. Petlin, Stephen B. Furber Built-In Self-Testing of Micropipelines. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Built-in self-test, Design for test, Asynchronous design, Micropipelines
15Marcio Merino Fernandes, Josep Llosa, Nigel P. Topham Allocating Lifetimes to Queues in Software Pipelined Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Sriram Vajapeyam, Tulika Mitra Improving Superscalar Instruction Dispatch and Issue by Exploiting Dynamic Code Sequences. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Sandip K. Biswas, Sampath Kannan Minimizing Space Usage in Evaluation of Expression Trees. Search on Bibsonomy FSTTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Bernhard Eschermann An implicitly testable boundary scan TAP controller. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF test controller, BIST, self-test, boundary scan, synthesis for testability, controller design
15Tetsuo Hironaka, Takashi Hashimoto, Keizo Okazaki, Kazuaki J. Murakami, Shinji Tomita Benchmarking a vector-processor prototype based on multithreaded streaming/FIFO vector (MSFV) architecture. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Leon Stok Interconnect optimisation during data path allocation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Norman P. Jouppi, Jonathan Bertoni, David W. Wall A Unified Vector/Scalar Floating-Point Architecture. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Pierre G. Paulin, John P. Knight Scheduling and Binding Algorithms for High-Level Synthesis. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Thomas Philip Using animated color graphics to illustrate software and hardware organizations (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15Sibylle Mund, Dieter Gollmann, Thomas Beth Some Remarks on the Cross Correlation Analysis of Pseudo Random Generators. Search on Bibsonomy EUROCRYPT The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15William R. Bush, A. Dain Samples, David M. Ungar, Paul N. Hilfinger Compiling Smalltalk-80 to a RISC. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF RISC, Smalltalk-80
11Masayoshi Yoshimura, Atsuya Tsujikawa, Toshinori Hosokawa CRLock: A SAT and FALL Attacks Resistant Logic Locking Method for Controller at Register Transfer Level. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Jinwu Chen, Yitong Zhao, Tianzhu Xiong, Xin Si An INT8 Charge-Digital Hybrid Compute-In-Memory Macro With CNN-Friendly Shift-Feed Register Design. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Zhang Jiang, Ying Chen, Xiaoli Gong, Jin Zhang 0003, Wenwen Wang 0001, Pen-Chung Yew JiuJITsu: Removing Gadgets with Safe Register Allocation for JIT Code Generation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Simon Dierl, Paul Fiterau-Brostean, Falk Howar, Bengt Jonsson 0001, Konstantinos Sagonas, Fredrik Tåquist Scalable Tree-based Register Automata Learning. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Elizaveta Sivak, Paulina Pankowska, Adriënne Mendrik, Tom Emery, Javier Garcia-Bernardo, Seyit Hocuk, Kasia Karpinska, Angelica Maineri, Joris Mulder, Malvina Nissim, Gert Stulp Combining the Strengths of Dutch Survey and Register Data in a Data Challenge to Predict Fertility (PreFer). Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Frederik Dermot Pustelnik, Xhani Marvin Saß, Jean-Pierre Seifert Whispering Pixels: Exploiting Uninitialized Register Accesses in Modern GPUs. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Xiaofeng Guan, Hao Zhou, Guoqing Bao, Handong Li, Liang Zhu, Jianguo Yao PresCount: Effective Register Allocation for Bank Conflict Reduction. Search on Bibsonomy CGO The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Youngseok Baek, Bontae Koo The High-Performance Convolution Design and Implementation Using Parallel Memory Processing and Shift Register Pipeline. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yen-Yu Chen, Hao-Yu Wu, Iris Hui-Ru Jiang, Cheng-Hong Tsai, Chien-Cheng Wu Slack Redistributed Register Clustering with Mixed-Driving Strength Multi-bit Flip-Flops. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yipeng Wang 0017, Mengtian Yang, Chieh-Pu Lo, Jaydeep P. Kulkarni 30.6 Vecim: A 289.13GOPS/W RISC-V Vector Co-Processor with Compute-in-Memory Vector Register File for Efficient High-Performance Computing. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Simon Dierl, Paul Fiterau-Brostean, Falk Howar, Bengt Jonsson 0001, Konstantinos Sagonas, Fredrik Tåquist Scalable Tree-based Register Automata Learning. Search on Bibsonomy TACAS (2) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Priyanka Panigrahi, Vignesh Ravichandra Rao, Thockchom Birjit Singha, Chandan Karfa SRIL: Securing Registers from Information Leakage at Register Transfer Level. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Lucas Wilkinson, Kazem Cheshmi, Maryam Mehri Dehnavi Register Tiling for Unstructured Sparsity in Neural Network Inference. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Tao Zhang 0081, Zhanpeng He, Zhihua Chen A Decoupling Matrix-Based Learning Control Scheme for the Machine Directional Register of Roll-to-Roll Systems. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Thomas Feliciani, Jochem Tolsma, Andreas Flache Ethnic segregation and spatial patterns of attitudes: studying the link using register data and social simulation. Search on Bibsonomy J. Comput. Soc. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Kai Lehniger, Peter Langendörfer Through the Window: Exploitation and Countermeasures of the ESP32 Register Window Overflow. Search on Bibsonomy Future Internet The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Sarwono Sutikno, Septafiansyah Dwi Putra, Fajar Wijitrisnanto, Muhamad Erza Aminanto Detecting Unknown Hardware Trojans in Register Transfer Level Leveraging Verilog Conditional Branching Features. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Zongnan Liang, Jiawei Nian, Hongjin Liu, Xuru Wang, Mengfei Yang C-DMR: a cache-based fault-tolerant protection method for register file. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Veronika Laippala, Samuel Rönnqvist, Miika Oinonen, Aki-Juhani Kyröläinen, Anna Salmela, Douglas Biber, Jesse Egbert, Sampo Pyysalo Register identification from the unrestricted open Web using the Corpus of Online Registers of English. Search on Bibsonomy Lang. Resour. Evaluation The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Van Nhan Nguyen, Jong-Wook Lee A 4.7-ps Resolution Recirculating Cyclic Vernier TDC Using DWA-Based Mismatch Correction and a Register-Based Time Amplifier. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Saleh Abdel-Hafeez Programmable Feedback Shift Register. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Kuozhong Zhang, Zhimin Zhang 0004, Guang-Ming Tang, Xiaochun Ye Design of a Compact Superconducting RSFQ Register File. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Meriam Gay Bautista, Patricia Gonzalez-Guerrero, Darren Lyles, George Michelogiannakis Superconducting Shuttle-Flux Shift Register for Race Logic and Its Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Piia Hyvämäki, Sami Sneck, Merja Meriläinen, Minna Pikkarainen, Maria Kääriäinen, Miia Jansson Interorganizational health information exchange-related patient safety incidents: A descriptive register-based qualitative study. Search on Bibsonomy Int. J. Medical Informatics The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Silvia Bonomi, Antonella Del Pozzo, Maria Potop-Butucaru, Sébastien Tixeuil Optimal self-stabilizing mobile byzantine-tolerant regular register with bounded timestamps. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11David A. Levin, Chandan Tankala Fast mixing of a randomized shift-register Markov chain. Search on Bibsonomy J. Appl. Probab. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Qiang Zhang, Lei Xu 0003, Baowen Xu RegCPython: A Register-based Python Interpreter for Better Performance. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Yu Fu, Jingqiang Lin, Dengguo Feng, Wei Wang 0314, Mingyu Wang, Wenjie Wang RegKey: A Register-based Implementation of ECC Signature Algorithms Against One-shot Memory Disclosure. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Celia Soler Uguet, Nora Aranberri Exploring politeness control in NMT: fine-tuned vs. multi-register models in Castilian Spanish. Search on Bibsonomy Proces. del Leng. Natural The full citation details ... 2023 DBLP  BibTeX  RDF
11Shao-Xiang Go, Qiang Wang, Kejie Huang, Tae Hoon Lee, Natasa Bajalovic, Desmond K. Loke Toward Single-Cell Multiple-Strategy Processing Shift Register Powered by Phase-Change Memory Materials. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Dongwei Pang, Lingling Wei, Shiwei Wu, Biao Deng, Yongfeng Gui, Zongming Duan, Gang Wang 0032 A ku-band common-leg transceiver with built-in configurable register in 130-nm CMOS technology for phased-array systems. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Mojtaba Abaie Shoushtary, José-María Arnau, Jordi Tubella Murgadas, Antonio González 0001 A Lightweight, Compiler-Assisted Register File Cache for GPGPU. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Antonella Del Pozzo, Antoine Lavandier, Alexandre Rapetti Preliminaries paper: Byzantine Tolerant Strong Auditable Atomic Register. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Lewis Tseng, Neo Zhou, Cole Dumas, Tigran Bantikyan, Roberto Palmieri Distributed Multi-writer Multi-reader Atomic Register with Optimistically Fast Read and Write. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Vamsi K. Vytla, Larry Doolittle Newad: A register map automation tool for Verilog. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Michal R. Przybylek A note on encoding infinity in ZFA with applications to register automata. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Andrew J. Adiletta, M. Caner Tol, Yarkin Doröz, Berk Sunar Mayhem: Targeted Corruption of Register and Stack Variables. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Sadaf Bashir, Salma Yaqoob, Suhaib Ahmed Design of QCA based N-bit single layer shift register using efficient JK Flip Flop for nano-communication applications. Search on Bibsonomy Nano Commun. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Sheng Xiao, Yong Chen, Jing He, Xi Yang Read-Write Dependency Aware Register Allocation. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Malin Berglund, Sara Olaison, Eva Westman, P. O. Eriksson, Lena Steger, Åsa Bonnard Validation of the Swedish Quality Register for Ear Surgery - SwedEar. Search on Bibsonomy BMC Medical Informatics Decis. Mak. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Bernd Lorenz, Vesna Steyer Terminologie der Information und Dokumentation Grundwortschatz, 3., neu bearbeitete Ausgabe (TID 3.1). Axel Ermert, Monika Hagedorn-Saupe, Barbara Müller-Heiden, Marlies Ockenfeld (Redaktion). Fachgruppe Arbeitskreis Terminologie und Sprachfragen (AKTS) der Deutschen Gesellschaft für Information und Wissen (DGI). - Frankfurt am Main 2023, (DGI-Schrift Informationswissenschaft - 13; ISSN 0940 6662). - XII + 144 Seiten, Register, Verzeichnis englischer und französischer Benennungen. - ISBN Gebundene Ausgabe 978-3-925474-74-3, ISBN E-Book (PDF) 978-3-925474-75-0, 19,80 Euro (für DGI-Mitglieder 15,80 Euro). Search on Bibsonomy Inf. Wiss. Prax. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Yoshiaki Takata, Akira Onishi, Ryoma Senda, Hiroyuki Seki A Subclass of Mu-Calculus with the Freeze Quantifier Equivalent to Register Automata. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Matthieu Ruthven, Marc E. Miquel, Andrew P. King A segmentation-informed deep learning framework to register dynamic two-dimensional magnetic resonance images of the vocal tract during speech. Search on Bibsonomy Biomed. Signal Process. Control. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Vikas Ramesh Kamath, R. Chokkanathapuram Sundaram, Raunak Banthia, Ananya Gopal PIM Null-Register Packing. Search on Bibsonomy RFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Heidi Rimer, Melina Sofie Jensen, Sara Elisabeth Dahlsgaard-Wallenius, Lise Eckhoff, Peter Thye-Rønn, Charlotte Kristiansen, Malene Grubbe Hildebrandt, Oke Gerke 2-[18F]FDG-PET/CT in Cancer of Unknown Primary Tumor - A Retrospective Register-Based Cohort Study. Search on Bibsonomy J. Imaging The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Barbara Balon, Krzysztof Kalinowski, Iwona Paprocka Production Planning Using a Shared Resource Register Organized According to the Assumptions of Blockchain Technology. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Elham Ebrahimpour, Shahram Babaie A Lightweight authentication approach based on linear feedback shift register and majority function for internet of things. Search on Bibsonomy Peer Peer Netw. Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Jaroslav Hrdina, Dietmar Hildenbrand, Ales Návrat, Christian Steinmetz, Rafael Alves, Carlile Lavor, Petr Vasík, Ivan Eryganov Quantum Register Algebra: the mathematical language for quantum computing. Search on Bibsonomy Quantum Inf. Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Kai Lehniger, Peter Langendörfer Window Canaries: Re-Thinking Stack Canaries for Architectures With Register Windows. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11M. H. Bandukara, Nikos Tzevelekos On-the-fly bisimulation equivalence checking for fresh-register automata. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1001 - #1100 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license