|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1414 occurrences of 781 keywords
|
|
|
Results
Found 2380 publication records. Showing 2380 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
29 | Michael Bain 0001 |
Predicate Invention and the Revision of First-Order Concept Lattices. |
ICFCA |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Alexessander Alves, Rui Camacho, Eugénio C. Oliveira |
Improving Numerical Reasoning Capabilities of Inductive Logic Programming Systems. |
IBERAMIA |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Seapahn Megerian, Milenko Drinic, Miodrag Potkonjak |
Watermarking integer linear programming solutions. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
digital watermarking, intellectual property protection |
29 | Nada Lavrac, Peter A. Flach |
An extended transformation approach to inductive logic programming. |
ACM Trans. Comput. Log. |
2001 |
DBLP DOI BibTeX RDF |
data mining, machine learning, relational databases, inductive logic programming |
29 | Mahmut T. Kandemir, Prithviraj Banerjee, Alok N. Choudhary, J. Ramanujam, Eduard Ayguadé |
Static and Dynamic Locality Optimizations Using Integer Linear Programming. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
cache miss estimation, compiler optimizations, integer linear programming, Data reuse, cache locality, memory layouts |
29 | Tamás Horváth 0001, Stefan Wrobel |
Towards Discovery of Deep and Wide First-Order Structures: A Case Study in the Domain of Mutagenicity. |
Discovery Science |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Tracy C. Denk, Keshab K. Parhi |
Two-dimensional retiming [VLSI design]. |
IEEE Trans. Very Large Scale Integr. Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Dimitar Kazakov |
Achievements and Prospects of Learning Word Morphology with Inductive Logic Programming. |
Learning Language in Logic |
1999 |
DBLP DOI BibTeX RDF |
|
29 | James Cussens, Stephen G. Pulman |
Experiments in Inductive Chart Parsing. |
Learning Language in Logic |
1999 |
DBLP DOI BibTeX RDF |
|
29 | Stefan Wrobel |
Scalability Issues in Inductive Logic Programming. |
ALT |
1998 |
DBLP DOI BibTeX RDF |
|
29 | Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith |
MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communicatons Systems. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
MediaBench, SPEC benchmark suite, benchmark suite, compilation technology, experimental measurement, general-purpose computing, general-purpose systems, inner-loops, optimization, multimedia systems, instruction-level parallelism, SIMD, VLIW, communications systems, embedded applications, microprocessor architectures |
29 | Stephen H. Muggleton |
Bayesian Inductive Logic Programming. |
COLT |
1994 |
DBLP DOI BibTeX RDF |
|
25 | Carina Lopes, Gerson Zaverucha |
HTILDE: scaling up relational decision trees for very large databases. |
SAC |
2009 |
DBLP DOI BibTeX RDF |
sampling, ILP, very large databases, Hoeffding bound |
25 | Yi-Shuai Niu, Pham Dinh Tao |
A DC Programming Approach for Mixed-Integer Linear Programs. |
MCO |
2008 |
DBLP DOI BibTeX RDF |
GOA-DCA, Branch-and-Bound, ILP, MILP, DCA, MIP, DC Programming |
25 | Makoto Oka, Hirohiko Mori, Masaru Saito |
An Information Filtering Method Based on User's Moods, Situations, and Preferences. |
HCI (8) |
2007 |
DBLP DOI BibTeX RDF |
Recommendation, ILP, Situation, Moods |
25 | Michael Emmi, Jeffrey S. Fischer, Ranjit Jhala, Rupak Majumdar |
Lock allocation. |
POPL |
2007 |
DBLP DOI BibTeX RDF |
lock inference, atomicity, ILP |
25 | Jung Ho Ahn, Mattan Erez, William J. Dally |
Tradeoff between data-, instruction-, and thread-level parallelism in stream processors. |
ICS |
2007 |
DBLP DOI BibTeX RDF |
ILP, TLP, stream processors, aspect ratio, DLP |
25 | Andrei Sergeevich Terechko, Erwan Le Thenaff, Henk Corporaal |
Cluster assignment of global values for clustered VLIW processors. |
CASES |
2003 |
DBLP DOI BibTeX RDF |
compiler, register allocation, VLIW, instruction scheduler, ILP, cluster assignment |
25 | Sunghyun Jee, Kannappan Palaniappan |
Performance evaluation for a compressed-VLIW processor. |
SAC |
2002 |
DBLP DOI BibTeX RDF |
CVLIW processor, individual instruction scheduling, VLIW, ILP |
25 | Amr M. M. Ashmawy, Howaida F. Ismail, Aly H. Fahmy |
Hybrid Predication Model for Instruction Level Parallelism. |
IPDPS |
2002 |
DBLP DOI BibTeX RDF |
ILP, predication, masking, guarding, conditional execution |
25 | Wolfram Amme, Peter Braun 0001, Eberhard Zehendner, François Thomasset |
Data Dependence Analysis of Assembly Code. |
IEEE PACT |
1998 |
DBLP DOI BibTeX RDF |
ILP, data dependence analysis, memory disambiguation |
25 | Mark C. Johnson, Kaushik Roy 0001 |
Optimal Selection of Supply Voltages and Level Conversions During Data Path Scheduling Under Resource Constraints. |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
level conversion, voltage selection, scheduling, low-power, synthesis, DSP, ILP, resource constraints, datapath |
24 | David Coudert, Frédéric Giroire, Ignasi Sau |
Edge-Simple Circuits through 10 Ordered Vertices in Square Grids. |
IWOCA |
2009 |
DBLP DOI BibTeX RDF |
edge-simple circuit, prescribed vertices, ILP solver, square grid |
24 | Péter Soproni, Marcell Perényi, Tibor Cinkler |
Grooming-Enhanced Multicast in Multilayer Networks. |
ONDM |
2007 |
DBLP DOI BibTeX RDF |
optical multicast, multilayer network, ILP formulation, WDM |
24 | Tolga Könik, John E. Laird |
Learning goal hierarchies from structured observations and expert annotations. |
Mach. Learn. |
2006 |
DBLP DOI BibTeX RDF |
Relational learning by observation, Behavioral cloning, Cognitive agent architectures, Relational learning, Inductive logic programming (ILP) |
24 | János Tapolcai, Pin-Han Ho |
A Deeper Study on Segment Shared Protection. |
ISPAN |
2004 |
DBLP DOI BibTeX RDF |
restoration time, protection and restoration, switching/merging, integer linear program (ILP), segment shared protection (SSP), protection domain |
24 | Philip Brisk, Adam Kaplan, Majid Sarrafzadeh |
Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
field-programmable gate array (FPGA), compiler, resource sharing, integer linear programming (ILP) |
24 | César Ferri, José Hernández-Orallo, M. José Ramírez-Quintana |
Induction of Decision Multi-trees Using Levin Search. |
International Conference on Computational Science (1) |
2002 |
DBLP DOI BibTeX RDF |
Levin search, Machine Learning, Minimum Description Length (MDL), Decision-tree Induction, Inductive Logic Programming (ILP) |
24 | César Ferri, José Hernández-Orallo, M. José Ramírez-Quintana |
Incremental Learning of Functional Logic Programs. |
FLOPS |
2001 |
DBLP DOI BibTeX RDF |
Inductive functional logic programming (IFLP), incremental learning, theory revision, inductive logic programming (ILP) |
24 | Alexandre E. Eichenberger, Waleed Meleis |
Balance Scheduling: Weighting Branch Tradeoffs in Superblocks. |
MICRO |
1999 |
DBLP DOI BibTeX RDF |
ILP compiler technique, weighted completion time, lower bound, scheduling heuristic, Superblock |
22 | Garima Thakral, Saraju P. Mohanty, Dhruva Ghai, Dhiraj K. Pradhan |
A DOE-ILP assisted conjugate-gradient based power and stability optimization in High-K Nano-CMOS SRAM. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
nano-CMOS, power, leakage, SRAM, static noise margin |
22 | Alain-Pierre Manine |
Learning the ontological theory of an information extraction system in the multi-predicate ILP setting. |
SAC |
2009 |
DBLP DOI BibTeX RDF |
genic interactions, multiple predicate learning, ontology, information extraction, inductive logic programming |
22 | Meikang Qiu, Lei Zhang 0194, Edwin Hsing-Mean Sha |
ILP optimal scheduling for multi-module memory. |
CODES+ISSS |
2009 |
DBLP DOI BibTeX RDF |
variable assignment, integer linear programming, energy saving, instruction scheduling |
22 | Kunal P. Ganeshpure, Sandip Kundu |
An ILP Based ATPG Technique for Multiple Aggressor Crosstalk Faults Considering the Effects of Gate Delays. |
VLSI Design |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Muhammad Umar Farooq 0003, Lizy Kurian John, Margarida F. Jacome |
Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. |
HiPEAC |
2009 |
DBLP DOI BibTeX RDF |
Tiled dataflow architectures, predication, power-performance trade-offs |
22 | Cliff Chiung-Yu Lin, Yao-Wen Chang |
ILP-based pin-count aware design methodology for microfluidic biochips. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
microfludics, design methodology, integer linear programming, biochip |
22 | Ozcan Ozturk 0001, Mahmut T. Kandemir |
ILP-Based energy minimization techniques for banked memories. |
ACM Trans. Design Autom. Electr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
low-power operating modes, compilers, data compression, replication, migration, DRAM, Memory banking |
22 | Philipp Cimiano, Helena Hartfiel, Sebastian Rudolph |
Intensional Question Answering Using ILP: What Does an Answer Mean?. |
NLDB |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Yibo Chen, Jin Ouyang, Yuan Xie 0001 |
ILP-based scheme for timing variation-aware scheduling and resource binding. |
SoCC |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Saraju P. Mohanty |
ILP Based Gate Leakage Optimization Using DKCMOS Library during RTL Synthesis. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Minsik Cho, David Z. Pan |
BoxRouter: A New Global Router Based on Box Expansion and Progressive ILP. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Anup Gangwar, M. Balakrishnan, Anshul Kumar |
Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures. |
ACM Trans. Design Autom. Electr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
performance evaluation, VLIW, ASIP, clustered VLIW processors |
22 | Seda Daglar Toprak, Pinar Senkul, Yusuf Kavurucu, Ismail Hakki Toroslu |
A New ILP-based Concept Discovery Method for Business Intelligence. |
ICDE Workshops |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Markus Chimani, Maria Kandyba, Petra Mutzel |
A New ILP Formulation for 2-Root-Connected Prize-Collecting Steiner Networks. |
ESA |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang |
An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Ali R. Iranpour, Krzysztof Kuchcinski |
Performance Improvement for H.264 Video Encoding using ILP Embedded Processor. |
DSD |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Kang Zhao, Jinian Bian |
A Clustering ILP Model for Fast Instruction Selection in Embedded Applicated Specific Processor Design. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Masaki Yokoyama, Tohgoroh Matsui, Hayato Ohwada |
Detecting and Revising Misclassifications Using ILP. |
Discovery Science |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Suleyman Tosun, Ozcan Ozturk 0001, Nazanin Mansouri, Ercument Arvas, Mahmut T. Kandemir, Yuan Xie 0001, Wei-Lun Hung |
An ILP Formulation for Reliability-Oriented High-Level Synthesis. |
ISQED |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Karthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu 0001, Changkyu Kim, Jaehyuk Huh 0001, Nitya Ranganathan, Doug Burger, Stephen W. Keckler, Robert G. McDonald, Charles R. Moore |
TRIPS: A polymorphous architecture for exploiting ILP, TLP, and DLP. |
ACM Trans. Archit. Code Optim. |
2004 |
DBLP DOI BibTeX RDF |
scalable and high-performance computing, Computer architecture, configurable computing |
22 | Steffen Köhler, Jens Braunes, Thomas Preußer, Martin Zabel, Rainer G. Spallek |
Increasing ILP of RISC Microprocessors Through Control-Flow Based Reconfiguration. |
FPL |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Saraju P. Mohanty, Nagarajan Ranganathan, Sunil K. Chappidi |
ILP Models for Energy and Transient Power Minimization During Behavioral Synthesis. |
VLSI Design |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Simha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler |
Scalable Hardware Memory Disambiguation for High ILP Processors. |
MICRO |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi |
Power Fluctuation Minimization During Behavioral Synthesis using ILP-Based Datapath Scheduling. |
ICCD |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi |
An ILP-based scheduling scheme for energy efficient high performance datapath synthesis. |
ISCAS (5) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Karthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu 0001, Changkyu Kim, Jaehyuk Huh 0001, Doug Burger, Stephen W. Keckler, Charles R. Moore |
Exploiting ILP, TLP and DLP with the Polymorphous TRIPS Architecture. |
ISCA |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Edmund M. Clarke, Anubhav Gupta 0001, James H. Kukula, Ofer Strichman |
SAT Based Abstraction-Refinement Using ILP and Machine Learning Techniques. |
CAV |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Gilles Richard, Fatima Zohra Kettaf |
Proof Length as an Uncertainty Factor in ILP. |
Soft-Ware |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Farinaz Koushanfar, Jennifer L. Wong, Jessica Feng, Miodrag Potkonjak |
ILP-based engineering change. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
engineering change, satisfiability(SAT), synthesis, integer linear programming |
22 | Sang Jeong Lee, Pen-Chung Yew |
On Table Bandwidth and Its Update Delay for Value Prediction on Wide-Issue ILP Processors. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
Decoupled value prediction, prediction value cache, dynamic classification |
22 | Guang-Ming Wu, Jai-Ming Lin, Yao-Wen Chang |
Generic ILP-based approaches for time-multiplexed FPGA partitioning. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Daniel Kästner, Sebastian Winkel |
ILP-based Instruction Scheduling for IA-64. |
LCTES/OM |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Hui Wu 0001, Joxan Jaffar |
An Efficient Algorithm for Scheduling Instructions with Deadline Constraints on ILP Processors. |
RTSS |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Sang Jeong Lee, Pen-Chung Yew |
On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Wen-Tsong Shiue |
Optimizing Memory Bandwidth with ILP Based Memory Exploration and Assignment for Low Power Embedded Systems. |
MTDT |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Ramesh Radhakrishnan, Deependra Talla, Lizy Kurian John |
Allowing for ILP in an embedded Java processor. |
ISCA |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Kazuhito Ito, Lori E. Lucke, Keshab K. Parhi |
ILP-based cost-optimal DSP synthesis with module selection and data format conversion. |
IEEE Trans. Very Large Scale Integr. Syst. |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Joy Shetler, Brian Hemme, Chia Yang, Christopher Hinsz |
Prototyping New ILP Architectures Using FPGAs. |
FPL |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Anne Mignotte, Olivier Peyran |
Scheduling using mixed arithmetic: an ILP formulation. |
ED&TC |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Hilde Adé, Bart Malfait, Luc De Raedt |
RUTH: an ILP Theory Revision System. |
ISMIS |
1994 |
DBLP DOI BibTeX RDF |
Inductive Logic Programming, Theory Revision |
22 | Hongbin Luo, Lemin Li, Hongfang Yu |
Routing connections with differentiated reliability requirements in WDM mesh networks. |
IEEE/ACM Trans. Netw. |
2009 |
DBLP DOI BibTeX RDF |
differentiated reliability, reliability, routing algorithm, mesh networks, wavelength-division multiplexing (WDM) |
22 | Suraj Kumar Jaiswal, Aura Ganz, Ramgopal R. Mettu |
An Optimization Framework for Demand-based Fair Stream Allocation in MIMO Ad Hoc Networks. |
Mob. Networks Appl. |
2009 |
DBLP DOI BibTeX RDF |
multiuser communication, scheduling, ad hoc networks, integer linear programming, multiple input multiple output |
22 | Huma Lodhi, Stephen H. Muggleton, Michael J. E. Sternberg |
Learning Large Margin First Order Decision Lists for Multi-Class Classification. |
Discovery Science |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Ernst Althaus, Gunnar W. Klau, Oliver Kohlbacher, Hans-Peter Lenhof, Knut Reinert |
Integer Linear Programming in Computational Biology. |
Efficient Algorithms |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Polychronis Xekalakis, Nikolas Ioannou, Marcelo Cintra |
Combining thread level speculation helper threads and runahead execution. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
multi-cores, thread-level speculation, helper threads, runahead execution |
22 | Ayse K. Coskun, Tajana Simunic Rosing, Keith Whisnant, Kenny C. Gross |
Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Srinath Sridhar 0001, Fumei Lam, Guy E. Blelloch, R. Ravi 0001, Russell Schwartz |
Mixed Integer Linear Programming for Maximum-Parsimony Phylogeny Inference. |
IEEE ACM Trans. Comput. Biol. Bioinform. |
2008 |
DBLP DOI BibTeX RDF |
Phylogenetic tree reconstruction, Algorithms, Integer Linear Programming, Computational Biology, Steiner tree problem, Maximum parsimony |
22 | Jianzhong Chen, Stephen H. Muggleton, José Carlos Almeida Santos |
Learning probabilistic logic models from probabilistic examples. |
Mach. Learn. |
2008 |
DBLP DOI BibTeX RDF |
Probabilistic inductive logic programming, Stochastic logic programs, Probabilistic examples, Abduction |
22 | Thomas G. Dietterich, Pedro M. Domingos, Lise Getoor, Stephen H. Muggleton, Prasad Tadepalli |
Structured machine learning: the next ten years. |
Mach. Learn. |
2008 |
DBLP DOI BibTeX RDF |
Structured machine learning, Inductive logic programming, Relational learning, Statistical relational learning |
22 | Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, Kai-Yuan Chao |
Fast and Optimal Redundant Via Insertion. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Liqun Fu 0001, Soung Chang Liew, Jianwei Huang |
Joint Power Control and Link Scheduling in Wireless Networks for Throughput Optimization. |
ICC |
2008 |
DBLP DOI BibTeX RDF |
|
22 | David Lastine, Arun K. Somani |
Supplementing Non-Simple p-Cycles with Preconfigured Lines. |
ICC |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, Kai-Yuan Chao |
Optimal post-routing redundant via insertion. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
redundant via insertion, via density, integer linear program |
22 | Nobuhiro Inuzuka, Hiroyuki Ishida, Tomofumi Nakano |
Control of Hypothesis Space Using Meta-knowledge in Inductive Learning. |
KES (2) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Masaru Miyazaki, Masahiro Shibata, Nobuyuki Yagi |
Baseball Digest Production System Using Inductive Logic Programming. |
ISM |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Ajay Kumar Todimala, Byrav Ramamurthy |
A scalable approach for survivable virtual topology routing in optical WDM networks. |
IEEE J. Sel. Areas Commun. |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Chongbing Liu, Enrico Pontelli |
Inductive Logic Programming by Instance Patterns. |
PADL |
2007 |
DBLP DOI BibTeX RDF |
Concept Instance, Patterns, Inductive Logic Programming |
22 | Richard Frank, Flavia Moser, Martin Ester |
A Method for Multi-relational Classification Using Single and Multi-feature Aggregation Functions. |
PKDD |
2007 |
DBLP DOI BibTeX RDF |
multi-relational datamining, multi-relational classification, multi-feature aggregation, existential quantifier |
22 | Ross D. King, Andreas Karwath, Amanda Clare, Luc Dehaspe |
Logic and the Automatic Acquisition of Scientific Knowledge: An Application to Functional Genomics. |
Computational Discovery of Scientific Knowledge |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Sebastian Winkel |
Optimal versus Heuristic Global Code Scheduling. |
MICRO |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Xiaoping Tang, Xin Yuan, Michael S. Gray |
Practical method for obtaining a feasible integer solution in hierarchical layout optimization. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Manoj Gupta 0001, Fermín Sánchez, Josep Llosa |
Cluster-level simultaneous multithreading for VLIW processors. |
ICCD |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Feng Gao 0017, John P. Hayes |
Exact and Heuristic Approaches to Input Vector Control for Leakage Power Reduction. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Roberto Cordone, Fabrizio Ferrandi, Marco D. Santambrogio, Gianluca Palermo, Donatella Sciuto |
Using speculative computation and parallelizing techniques to improve scheduling of control based designs. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Appaya Devaraj Swaminathan, Nastooh Avessta |
Integer linear programming method for spatial temporal mapping of the Viterbi decoder. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Nuno A. Fonseca, Fernando M. A. Silva, Rui Camacho |
April - An Inductive Logic Programming System. |
JELIA |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Krishnan Srinivasan, Karam S. Chatha |
A Methodology for Layout Aware Design and Optimization of Custom Network-on-Chip Architectures. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Christopher H. Bryant, Daniel Fredouille, Alex Wilson, Channa K. Jayawickreme, Steven Jupe, Simon Topp |
Pertinent Background Knowledge for Learning Protein Grammars. |
ECML |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Baohua Wang, Pinaki Mazumder |
Bounding Supply Noise Induced Path Delay Variation Using a Relaxation Approach. |
VLSI Design |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Stephen H. Muggleton, Huma Lodhi, Ata Amini, Michael J. E. Sternberg |
Support Vector Inductive Logic Programming. |
Discovery Science |
2005 |
DBLP DOI BibTeX RDF |
|
|
|