The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1988 (19) 1989-1992 (18) 1993-1995 (19) 1996-1997 (27) 1998 (20) 1999 (30) 2000 (34) 2001 (32) 2002 (50) 2003 (49) 2004 (57) 2005 (51) 2006 (58) 2007 (63) 2008 (52) 2009 (25) 2010-2011 (16) 2012-2013 (18) 2014-2015 (20) 2016-2017 (20) 2018-2019 (24) 2020-2021 (24) 2022-2023 (20)
Publication types (Num. hits)
article(156) inproceedings(584) phdthesis(6)
Venues (Conferences, Journals, ...)
ISCA(37) MICRO(36) HPCA(22) IEEE Trans. Computers(21) CoRR(16) IEEE PACT(13) IPDPS(12) ASPLOS(10) ICCD(10) ICS(10) ISLPED(10) PaCT(10) Euro-Par(9) ICPP(9) ACM Trans. Archit. Code Optim.(8) Asia-Pacific Computer Systems ...(7) More (+10 of total 336)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 731 occurrences of 466 keywords

Results
Found 747 publication records. Showing 746 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Dennis Lee 0001, Jean-Loup Baer, Brad Calder, Dirk Grunwald Instruction Cache Fetch Policies for Speculative Execution. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C++
28Antonio González 0001, José M. Llabería Instruction fetch unit for parallel execution of branch instructions. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF instruction cache memory, zero time cost branches, pipelined processors, control dependencies, branch instructions
28Stijn Eyerman, Lieven Eeckhout Per-thread cycle accounting in SMT processors. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cycle accounting, simultaneous multithreading (smt), thread-progress aware fetch policy
28Birgit Graf, Matthias Hans, Rolf Dieter Schraft Care-O-bot II-Development of a Next Generation Robotic Home Assistant. Search on Bibsonomy Auton. Robots The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Robotic Home Assistant, Walking Aid, Path Modification, Fetch and Carry Tasks, User Interface, Path Planning, Service Robot, Object Manipulation, Task Planning
28Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang 0004, Sivakumar Velusamy, David Tarjan Temperature-aware microarchitecture: Modeling and implementation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Dynamic compact thermal models, fetch gating, dynamic voltage scaling, feedback control, dynamic thermal management
28Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Trace Cache Redundancy: Red & Blue Traces. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF trace cache, instruction fetch, code reordering
28Eugen Ettelt, Ralf Furtwängler, Uwe D. Hanebeck, Günther Schmidt Design Issues of a Semi-Autonomous Robotic Assistant for the Health Care Environment. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF mobile service robot, fetch-and-carry task, augmented virtual workspace, health care, mobile manipulation, human-robot interface, robotic assistant
28Yossi Matias, Uzi Vishkin A note on reducing parallel model simulations to integer sorting. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel model simulations, FETCH&ADD PRAM model, parallel algorithms, sorting, hashing, bootstrapping, bootstrapping, EREW PRAM, CRCW PRAM, simulation algorithms, integer sorting
28Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
27Hui Wang, Rama Sangireddy, Sandeep Baldawa Optimizing Instruction Scheduling through Combined In-Order and O-O-O Execution in SMT Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Ramkumar Jayaseelan, Tulika Mitra Dynamic thermal management via architectural adaptation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture adaptation, dynamic thermal management
27Samantika Subramaniam, Milos Prvulovic, Gabriel H. Loh PEEP: Exploiting predictability of memory dependences in SMT processors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt Performance-aware speculation control using wrong path usefulness prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Kshitiz Malik, Mayank Agarwal, Vikram Dhar, Matthew I. Frank PaCo: Probability-based path confidence prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Shijian Zhang, Weiwu Hu Fetching Primary and Redundant Instructions in Turn for a Fault-Tolerant Embedded Microprocessor. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Mojtaba Shakeri, Abolfazl Toroghi Haghighat, Mohammad K. Akbari Modeling and Evaluating the Scalability of Instruction Fetching in Superscalar Processors. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Juan L. Aragón, José M. González, Antonio González 0001 Control Speculation for Energy-Efficient Next-Generation Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, processor architecture, energy-aware systems, Control speculation
27Subash Chandar G., Mahesh Mehendale, R. Govindarajan Area and Power Reduction of Embedded DSP Systems using Instruction Compression and Re-configurable Encoding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded DSP systems, re-configurable architecture, code compression, energy reduction
27Julie A. Kientz, Shwetak N. Patel, Arwa Z. Tyebkhan, Brian D. Gane, Jennifer Wiley, Gregory D. Abowd Where's my stuff?: design and evaluation of a mobile system for locating lost items for the visually impaired. Search on Bibsonomy ASSETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF item location, ubiquitous computing, assistive technology, visually impaired, mobile technology
27Jayendra Venkateswaran, Tamer Kahveci, Orhan Çamoglu Finding Data Broadness Via Generalized Nearest Neighbors. Search on Bibsonomy EDBT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Juan L. Aragón, Dan Nicolaescu, Alexander V. Veidenbaum, Ana-Maria Badulescu Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Kevin Skadron Hybrid Architectural Dynamic Thermal Management. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Francisco J. Cazorla, Alex Ramírez, Mateo Valero, Enrique Fernández Dynamically Controlled Resource Allocation in SMT Processors. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable performance in SMT processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real time, operating systems, multithreading, performance predictability, ILP, thread-level parallelism, SMT
27Marc L. Corliss, E. Christopher Lewis, Amir Roth A DISE implementation of dynamic code decompression. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DISE, code decompression, code compression
27Bernard Goossens The Instruction Register File. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Sang Jeong Lee, Pen-Chung Yew On Augmenting Trace Cache for High-Bandwidth Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, data dependences, Value prediction, trace cache, dynamic classification
27Weiyu Tang, Rajesh K. Gupta 0001, Alexandru Nicolau Power Savings in Embedded Processors through Decode Filer Cache. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Panagiota Fatourou, Maurice Herlihy Adding Networks. Search on Bibsonomy DISC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Derek L. Howard, Mikko H. Lipasti The Effect of Program Optimization on Trace Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compiler optimization, Microarchitecture, superscalar processors, trace cache
27Ting-Lu Huang Fast and Fair Mutual Exclusion for Shared Memory Systems. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF atomic instructions memory contention, concurrency, multiprocessors, fairness, mutual exclusion
27Bryan Black, Bohuslav Rychlik, John Paul Shen The Block-Based Trace Cache. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Pedro Marcuello, Antonio González 0001 Data Speculative Multithreaded Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Jared Stark, Paul Racunas, Yale N. Patt Reducing the Performance Impact of Instruction Cache Misses by Writing Instructions into the Reservation Stations Out-of-Order. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF instruction supply, superscalar processors, out-of-order execution
27Sriram Vajapeyam, Tulika Mitra Improving Superscalar Instruction Dispatch and Issue by Exploiting Dynamic Code Sequences. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Leonidas I. Kontothanassis, Rabin A. Sugumar, Greg Faanes, James E. Smith 0001, Michael L. Scott Cache performance in vector supercomputers. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Shen-Ming Chung, Ming-Der Shieh, Tzi-Cker Chiueh FETCH: A cloud-native searchable encryption scheme enabling efficient pattern search on encrypted data within cloud services. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Vladimir A. Dulov, Ekaterina V. Skiba, Arseny Alexandrovich Kubryakov Landsat-8 Observations of Foam Coverage under Fetch-Limited Wave Development. Search on Bibsonomy Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Armando Castañeda, Sergio Rajsbaum, Michel Raynal Set-Linearizable Implementations from Read/Write Operations: Sets, Fetch &Increment, Stacks and Queues with Multiplicity. Search on Bibsonomy Distributed Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Xianghong Hu, Xuejiao Liu, Yu Liu 0007, Haowei Zhang, Xijie Huang, Xihao Guan, Luhong Liang, Chi-Ying Tsui, Xiaoming Xiong, Kwang-Ting Cheng A Tiny Accelerator for Mixed-Bit Sparse CNN Based on Efficient Fetch Method of SIMO SPad. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Aninda Manocha, Juan L. Aragón, Margaret Martonosi Graphfire: Synergizing Fetch, Insertion, and Replacement Policies for Graph Analytics. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19En Yu, Tiancai Wang, Zhuoling Li, Yuang Zhang, Xiangyu Zhang 0005, Wenbing Tao MOTRv3: Release-Fetch Supervision for End-to-End Multi-Object Tracking. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Ryosuke Korekata, Motonari Kambara, Yu Yoshida, Shintaro Ishikawa, Yosuke Kawasaki, Masaki Takahashi, Komei Sugiura Switching Head-Tail Funnel UNITER for Dual Referring Expression Comprehension with Fetch-and-Carry Tasks. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Motonari Kambara, Komei Sugiura Fully Automated Task Management for Generation, Execution, and Evaluation: A Framework for Fetch-and-Carry Tasks with Natural Language Instructions in Continuous Space. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Pengfei Hu 0006, Jiefeng Ma, Zhenrong Zhang, Jun Du, Jianshu Zhang Count, Decode and Fetch: A New Approach to Handwritten Chinese Character Error Correction. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Alexey Melnikov 0001, Arun Prakash Achuthan, Vikram Nagulakonda, Luís Alves IMAP PARTIAL Extension for Paged SEARCH and FETCH. Search on Bibsonomy RFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Balázs Csébfalvi One Step Further Beyond Trilinear Interpolation and Central Differences: Triquadratic Reconstruction and its Analytic Derivatives at the Cost of One Additional Texture Fetch. Search on Bibsonomy Comput. Graph. Forum The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Malte Hawich, Nico Rumpeltin, Malte Rücker, Tobias Stuckenberg, Holger Blume High Performance Instruction Fetch Structure within a RISC-V Processor for Use in Harsh Environments. Search on Bibsonomy SAMOS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Ryosuke Korekata, Motonari Kambara, Yu Yoshida, Shintaro Ishikawa, Yosuke Kawasaki, Masaki Takahashi, Komei Sugiura Switching Head-Tail Funnel UNITER for Dual Referring Expression Comprehension with Fetch-and-Carry Tasks. Search on Bibsonomy IROS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Markus Weißflog, Peter Protzel, Peer Neubert FETCH: A Memory-Efficient Replay Approach for Continual Learning in Image Classification. Search on Bibsonomy IDEAL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Mengshun Hu, Kui Jiang, Zhixiang Nie, Jiahuan Zhou, Zheng Wang 0007 Store and Fetch Immediately: Everything Is All You Need for Space-Time Video Super-resolution. Search on Bibsonomy AAAI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Parag Verma, Rajeev Tiwari, Wei-Chiang Hong, Shuchi Upadhyay, Yi-Hsuan Yeh FETCH: A Deep Learning-Based Fog Computing and IoT Integrated Environment for Healthcare Monitoring and Diagnosis. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Dominique Sydow, Jaime Rodríguez-Guerra, Andrea Volkamer OpenCADD-KLIFS: A Python package to fetch kinase data from the KLIFS database. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Seyed Armin Vakil-Ghahani, Soheil Khadirsharbiyani, Jagadish B. Kotra, Mahmut T. Kandemir Athena: An Early-Fetch Architecture to Reduce on-Chip Page Walk Latencies. Search on Bibsonomy PACT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Limin Su, Chao-Jen Huang, Mei Liu, Bing-Yuh Lu, Jidong Che, Ximiao Wang, Jiqi Feng, Changyong Li, Youhong Feng, Yueliu Mo Robot for Ball Fetch-and-Carry with Computer Vision in Deep Learning. Search on Bibsonomy ICACT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Shiqing Fu, Jian Li, Zhang-Hua Fu Cooperatively Scheduling Hundreds of Fetch and Freight Robots in an Autonomous Warehouse. Search on Bibsonomy RCAR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Brendon Lutnick, Leema Krishna Murali, Brandon Ginley, Pinaki Sarder Histo-fetch - On-the-fly processing of gigapixel whole slide images simplifies and speeds neural network training. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Justin Edwards, Orla Cooney, Rachel Edwards Alexa, Play Fetch! A Review of Alexa Skills for Pets. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Simon Zimmermann, Roi Poranne, Stelian Coros Go Fetch! - Dynamic Grasps using Boston Dynamics Spot with External Robotic Arm. Search on Bibsonomy ICRA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Limin Su, Chao-Jen Huang, Mei Liu, Bing-Yuh Lu, Jidong Che, Ximiao Wang, Jiqi Feng, Changyong Li, Youhong Feng, Yueliu Mo Robot for Ball Fetch-and-Carry with Computer Vision in Deep Learning. Search on Bibsonomy ICACT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Aniket Anand Deshmukh, Yale N. Patt Criticality Driven Fetch. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Yasuo Ishii, Jaekyu Lee, Krishnendra Nathella, Dam Sunwoo Re-establishing Fetch-Directed Instruction Prefetching: An Industry Perspective. Search on Bibsonomy ISPASS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Qitian Zeng, Kyle C. Hale, Boris Glavic Playing Fetch with CAT: Composing Cache Partitioning and Prefetching for Task-based Query Processing. Search on Bibsonomy DaMoN The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Justin Edwards, Orla Cooney, Rachel Edwards Alexa, Play Fetch! A Review of Alexa Skills for Pets. Search on Bibsonomy ACI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Liad Nahum, Hagit Attiya, Ohad Ben-Baruch, Danny Hendler Recoverable and Detectable Fetch&Add. Search on Bibsonomy OPODIS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Ling Luo, Tianshu Wang, Wei Li, Xin Zhang, Lin Ge Experimental Study for Arm Fetch Accessibility in Standing Posture. Search on Bibsonomy AHFE (3) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Yongbo Chen, Brenton Leighton, Huishen Zhu, Xijun Ke, Songtao Liu, Liang Zhao 0003 Submap-Based Indoor Navigation System for the Fetch Robot. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Takashi Yamamoto, Hiroaki Yaguchi, Shohei Kato, Hiroyuki Okada Evaluation of impression difference of a domestic mobile manipulator with autonomous and/or remote control in fetch-and-carry tasks. Search on Bibsonomy Adv. Robotics The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Leonardo Uieda, Santiago Soler, Rémi Rampin, Hugo van Kemenade, Matthew J. Turk, Daniel Shapero, Anderson Banihirwe, John R. Leeman Pooch: A friend to fetch your data files. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Kenneth Blomqvist, Michel Breyer, Andrei Cramariuc, Julian Förster, Margarita Grinvald, Florian Tschopp, Jen Jen Chung, Lionel Ott, Juan I. Nieto 0001, Roland Siegwart Go Fetch: Mobile Manipulation in Unstructured Environments. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Truls Asheim, Rakesh Kumar 0003, Boris Grot Fetch-Directed Instruction Prefetching Revisited. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Takuto Kanamori, Hiromu Miyazaki, Kenji Kise RVCoreP-32IC: A high-performance RISC-V soft processor with an efficient fetch unit supporting the compressed instructions. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Ari Keränen, Mojan Mohajer FETCH and PATCH with Sensor Measurement Lists (SenML). Search on Bibsonomy RFC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Gayane Kazhoyan, Arthur Niedzwiecki, Michael Beetz Towards Plan Transformations for Real-World Mobile Fetch and Place. Search on Bibsonomy ICRA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Ben Simner, Shaked Flur, Christopher Pulte, Alasdair Armstrong, Jean Pichon-Pharabod, Luc Maranget, Peter Sewell ARMv8-A System Semantics: Instruction Fetch in Relaxed Architectures. Search on Bibsonomy ESOP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Zhuo Wang, Zheng Wang 0027, Bo Dong, Chao Chen 0022, Yongkui Yang, Zhibin Yu 0001 Accelerating Atrous Convolution with Fetch-and-Jump Architecture for Activation Positioning. Search on Bibsonomy ICTA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Liang He 0005, Ruolin Wang, Xuhai Xu PneuFetch: Supporting Blind and Visually Impaired People to Fetch Nearby Objects via Light Haptic Cues. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Laith M. AlBarakat, Paul V. Gratz, Daniel A. Jiménez SB-Fetch: synchronization aware hardware prefetching for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Demian E. Vöhringer, Klaus Meyer-Wegener Future Fetch - Towards a Ticket-based Data Access from Secondary Storage in Database Systems. Search on Bibsonomy LWDA The full citation details ... 2020 DBLP  BibTeX  RDF
19Yosuke Ide, Nobuyuki Yamasaki A Learning-based Fetch Thread Gating Mechanism for A Simultaneous Multithreading Processor. Search on Bibsonomy CANDAR The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Konstantinos Georgiou, George Karakostas, Evangelos Kranakis Search-and-Fetch with 2 Robots on a Disk: Wireless and Face-to-Face Communication Models. Search on Bibsonomy Discret. Math. Theor. Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Ravindra Sandaruwan Ranaweera, Eiji Oki, Nattapong Kitsuwan Delay Distribution Based Remote Data Fetch Scheme for Hadoop Clusters in Public Cloud. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Alex Aravind, Wim H. Hesselink Group Mutual Exclusion by Fetch-and-increment. Search on Bibsonomy ACM Trans. Parallel Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Pengfei Wang 0010, Kai Lu, Gen Li 0002, Xu Zhou DFTracker: detecting double-fetch bugs by multi-taint parallel tracking. Search on Bibsonomy Frontiers Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Huishen Zhu, Brenton Leighton, Yongbo Chen, Xijun Ke, Songtao Liu, Liang Zhao 0003 Indoor Navigation System Using the Fetch Robot. Search on Bibsonomy ICIRA (4) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Lorenzo Civolani, Guillaume Pierre, Paolo Bellavista FogDocker: Start Container Now, Fetch Image Later. Search on Bibsonomy UCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Abdullah A. Owahid, Eugene B. John Instruction Profiling Based Fetch Throttling for Wasted Dynamic Power Reduction. Search on Bibsonomy SBAC-PAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Hiromu Miyazaki, Junya Miura, Kenji Kise An Efficient Instruction Fetch Architecture for a RISC-V Soft Processor on an FPGA. Search on Bibsonomy HEART The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Ryosuke Tatara, Naoki Nomaguchi, Akihiro Kawamura, Ryo Kurazume, Sadao Kawamura Development of an Inflatable Robotic Arm on Mobile Platform for Fetch-and-Give Tasks. Search on Bibsonomy SII The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Naofumi Yoshinaga, Ryo Kamasaka, Yuichiro Shibata, Kiyoshi Oguri Pipelined FPGA Implementation of a Wave-Front-Fetch Graph Cut System. Search on Bibsonomy CISIS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Laith M. AlBarakat, Paul V. Gratz, Daniel A. Jiménez MTB-Fetch: Multithreading Aware Hardware Prefetching for Chip Multiprocessors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Susumu Shimada, Yuko Takeyama, Tetsuya Kogaki, Teruo Ohsawa, Satoshi Nakamura 0005 Investigation of the Fetch Effect Using Onshore and Offshore Vertical LiDAR Devices. Search on Bibsonomy Remote. Sens. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Pengfei Wang 0010, Kai Lu, Gen Li 0002, Xu Zhou A survey of the double-fetch vulnerabilities. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Kai Lu, Peng-Fei Wang 0010, Gen Li 0002, Xu Zhou Untrusted Hardware Causes Double-Fetch Problems in the I/O Memory. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19 Making "fetch" happen: The influence of social and linguistic context on nonstandard word growth and decline. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
19Joonas Multanen, Timo Viitanen, Pekka Jääskeläinen, Jarmo Takala Instruction Fetch Energy Reduction with Biased SRAMs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Michael Schwarz 0001, Daniel Gruss, Moritz Lipp, Clémentine Maurice, Thomas Schuster, Anders Fogh, Stefan Mangard Automated Detection, Exploitation, and Elimination of Double-Fetch Bugs using Modern CPU Features. Search on Bibsonomy AsiaCCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Ravindra Sandaruwan Ranaweera, Eiji Oki, Nattapong Kitsuwan Non-local Data Fetch Scheme Based on Delay Distribution for Hadoop Clusters in Public Cloud. Search on Bibsonomy BigDataSecurity/HPSC/IDS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Yingqi Luo, Pengfei Wang 0010, Xu Zhou, Kai Lu DFTinker: Detecting and Fixing Double-Fetch Bugs in an Automated Way. Search on Bibsonomy WASA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Francisco Candel, Salvador Petit, Alejandro Valero, Julio Sahuquillo Improving GPU Cache Hierarchy Performance with a Fetch and Replacement Cache. Search on Bibsonomy Euro-Par The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 746 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license