The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yi-Siang Su, Tsung-Yi Ho, Der-Tsai Lee A routability-driven flow routing algorithm for programmable microfluidic devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Matthias Jung 0001, Deepak M. Mathew, Christian Weis, Norbert Wehn Efficient reliability management in SoCs - an approximate DRAM perspective. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mathias Soeken, Daniel Große, Arun Chandrasekharan, Rolf Drechsler BDD minimization for approximate computing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xing Wei, Yi Diao, Yu-Liang Wu To Detect, Locate, and Mask Hardware Trojans in digital circuits by reverse engineering and functional ECO. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhaoxin Liang, Meghna G. Mankalale, Brandon Del Bel, Sachin S. Sapatnekar Logic and memory design using spin-based circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jain-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Congestion- and timing-driven droplet routing for pin-constrained paper-based microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mark Po-Hung Lin, Yao-Wen Chang, Chih-Ming Hung Recent research development and new challenges in analog layout synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hrishikesh Jayakumar, Arnab Raha, Younghyun Kim 0001, Soubhagya Sutar, Woo Suk Lee, Vijay Raghunathan Energy-efficient system design for IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Karthik Yogendra, Deliang Fan, Yong Shim, Minsuk Koo, Kaushik Roy 0001 Computing with coupled Spin Torque Nano Oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui-In Mak, Mang I Vai, Sio-Hang Pun, Rui Paulo Martins Sub-threshold VLSI logic family exploiting unbalanced pull-up/down network, logical effort and inverse-narrow-width techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tetsuaki Matsunawa, Bei Yu 0001, David Z. Pan Laplacian eigenmaps and bayesian clustering based layout pattern sampling and its applications to hotspot detection and OPC. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Petra R. Maier, Veit B. Kleeberger Embedded software reliability testing by unit-level fault injection. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Deokjin Joo, Taewhan Kim Clock buffer polarity assignment utilizing useful clock skews for power noise reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sebastian Ottlik, Jan Micha Borrmann, Sadik Asbach, Alexander Viehl, Wolfgang Rosenstiel, Oliver Bringmann 0001 Trace-based context-sensitive timing simulation considering execution path variations. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ping Chi, Shuangchen Li, Yuanqing Cheng, Yu Lu, Seung H. Kang, Yuan Xie 0001 Architecture design with STT-RAM: Opportunities and challenges. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xian Zhang 0001, Guangyu Sun 0003, Yaojun Zhang, Yiran Chen 0001, Hai Li 0001, Wujie Wen, Jia Di A novel PUF based on cell error rate distribution of STT-RAM. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Aravind Tharayil Narayanan, Makihiko Katsuragi, Kengo Nakata, Yuki Terashima, Kenichi Okada, Akira Matsuzawa A noise reduction technique for divider-less fractional-N frequency synthesizer using phase-interpolation technique. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bin Lin, Zhenkun Yang, Kai Cong, Fei Xie Generating high coverage tests for SystemC designs using symbolic execution. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jacob Wurm, Khoa Hoang, Orlando Arias, Ahmad-Reza Sadeghi, Yier Jin Security analysis on consumer and industrial IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nikolay Matyunin, Jakub Szefer, Sebastian Biedermann, Stefan Katzenbeisser 0001 Covert channels using mobile device's magnetic field sensors. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anastasis Keliris, Charalambos Konstantinou, Nektarios Georgios Tsoutsos, Raghad Baiad, Michail Maniatakos Enabling multi-layer cyber-security assessment of Industrial Control Systems through Hardware-In-The-Loop testbeds. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xinhan Lin, Shouyi Yin, Leibo Liu, Shaojun Wei Exploiting parallelism of imperfect nested loops with sibling inner loops on coarse-grained reconfigurable architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Man-Pan Wong, Wen-Hao Liu, Ting-Chi Wang Negotiation-based track assignment considering local nets. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Louis Y.-Z. Lin, Charles H.-P. Wen Speed binning with high-quality structural patterns from functional timing analysis (FTA). Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dongsheng Yang 0002, Wei Deng 0001, Aravind Tharayil Narayanan, Kengo Nakata, Teerachot Siriburanon, Kenichi Okada, Akira Matsuzawa An automatic place-and-routed two-stage fractional-N injection-locked PLL using soft injection. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lei Yang 0018, Weichen Liu, Weiwen Jiang, Mengquan Li, Juan Yi, Edwin Hsing-Mean Sha FoToNoC: A hierarchical management strategy based on folded lorus-like Network-on-Chip for dark silicon many-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Huizhang Luo, Jingtong Hu, Liang Shi, Chun Jason Xue, Qingfeng Zhuge Peak-to-average pumping efficiency improvement for charge pump in Phase Change Memories. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Khondker Z. Ahmed, Monodeep Kar, Saibal Mukhopadhyay (Invited paper) energy delivery for self-powered IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chien-Hsiung Chiou, Chin-Hao Chang, Szu-To Chen, Yao-Wen Chang Circular-contour-based obstacle-aware macro placement. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fengxian Jiao, Sheqin Dong Ordered Escape routing for grid pin array based on Min-cost Multi-commodity Flow. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cheng-Hung Wu, Saint James Lee, Kuen-Jong Lee Test and diagnosis pattern generation for dynamic bridging faults and transition delay faults. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Giovanni De Micheli Majority-based synthesis for nanotechnologies. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhi-Wen Lin, Yao-Wen Chang Cut redistribution with directed self-assembly templates for advanced 1-D gridded layouts. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Daohang Shi, Edward Tashjian, Azadeh Davoodi Dynamic planning of local congestion from varying-size vias for global routing layer assignment. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zelei Sun, Keith A. Campbell, Wei Zuo, Kyle Rupnow, Swathi T. Gurumani, Frederic Doucet, Deming Chen Designing high-quality hardware on a development effort budget: A study of the current state of high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1An-Tai Xiao, Yung-Siang Miao, Ching-Hwa Cheng, Jiun-In Guo A variable-voltage low-power technique for digital circuit system. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yidi Liu, Benjamin Carrión Schäfer Optimization of behavioral IPs in multi-processor system-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kent W. Nixon, Xiang Chen 0010, Yiran Chen 0001 Footfall - GPS polling scheduler for power saving on wearable devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yibo Lin, Bei Yu 0001, Yi Zou, Zhuo Li 0001, Charles J. Alpert, David Z. Pan Stitch aware detailed placement for multiple e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Payman Behnam, Bijan Alizadeh, Sajjad Taheri, Masahiro Fujita Formally analyzing fault tolerance in datapath designs using equivalence checking. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yi Diao, Xing Wei, Tak-Kei Lam, Yu-Liang Wu Coupling reverse engineering and SAT to tackle NP-complete arithmetic circuitry verification in ∼O(# of gates). Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bo Wang 0012, Man Kay Law, Saqib Mohamad, Amine Bermak A 2.2µW 15b incremental delta-sigma ADC with output-driven input segmentation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xinfei Guo, Mircea R. Stan Work hard, sleep well - Avoid irreversible IC wearout with proactive rejuvenation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Adam Kostrzewa, Selma Saidi, Leonardo Ecco, Rolf Ernst Dynamic admission control for real-time networks-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fa Wang, Shihui Yin, Minhee Jun, Xin Li 0001, Tamal Mukherjee, Rohit Negi, Larry T. Pileggi Re-thinking polynomial optimization: Efficient programming of reconfigurable radio frequency (RF) systems by convexification. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andrés Takach Design and verification using high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori Non-Volatile Non-Shadow flip-flop using Spin Orbit Torque for efficient normally-off computing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ryan Berryhill, Andreas G. Veneris A complete approach to unreachable state diagnosability via property directed reachability. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Andrew Hennessy, Yu Zheng 0011, Swarup Bhunia JTAG-based robust PCB authentication for protection against counterfeiting attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zana Ghaderi, Eli Bozorgzadeh Aging-aware high-level physical planning for reconfigurable systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xin Huang 0003, Valeriy Sukharev, Taeyoung Kim 0001, Hai-Bao Chen, Sheldon X.-D. Tan Electromigration recovery modeling and analysis under time-dependent current and temperature stressing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jian Deng, Haotian Liu, Kim Batselier, Yu-Kwong Kwok, Ngai Wong STORM: A nonlinear model order reduction method via symmetric tensor decomposition. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhe Yuan, Yongpan Liu, Hehe Li, Huazhong Yang CP-FPGA: Computation data-aware software/hardware co-design for nonvolatile FPGAs based on checkpointing techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kent W. Nixon, Xiang Chen 0010, Zhi-Hong Mao, Yiran Chen 0001 SlowMo - enhancing mobile gesture-based authentication schemes via sampling rate optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seyed Nematollah Ahmadyan, Suriyaprakash Natarajan, Shobha Vasudevan Every test makes a difference: Compressing analog tests to decrease production costs. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Minkyu Song, Joseph Sankman, Jayeol Lee, Dongsheng Brian Ma A 200-MHz 4-phase fully integrated voltage regulator with local ground sensing dual loop ZDS hysteretic control using 6.5nH package bondwire inductors on 65nm bulk CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Samyoung Bang, Kwangsoo Han, Andrew B. Kahng, Mulong Luo Delay uncertainty and signal criticality driven routing channel optimization for advanced DRAM products. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chi-Ruo Wu, Wei Wen, Tsung-Yi Ho, Yiran Chen 0001 Thermal optimization for memristor-based hybrid neuromorphic computing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Liang-Ying Lu, Ching-Yao Chang, Zhao-Hong Chen, Bo-Ting Yeh, Tai-Hua Lu, Peng-Yu Chen, Pin-Hao Tang, Kuen-Jong Lee, Lih-Yih Chiou, Soon-Jyh Chang, Chien-Hung Tsai, Chung-Ho Chen, Jai-Ming Lin A testable and debuggable dual-core system with thermal-aware dynamic voltage and frequency scaling. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sandeep Chandran, Preeti Ranjan Panda, Deepak Chauhan, Sharad Kumar, Smruti R. Sarangi Extending trace history through tapered summaries in post-silicon validation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xin He, Guihai Yan, Yinhe Han 0001, Xiaowei Li 0001 ACR: Enabling computation reuse for approximate computing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yung-Chun Lei, Chen-Shing Hsu, Juinn-Dar Huang, Jing-Yang Jou Chain-based pin count minimization for general-purpose digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Swagath Venkataramani, Kaushik Roy 0001, Anand Raghunathan Efficient embedded learning for IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dominik Erb, Karsten Scheibler, Michael A. Kochte, Matthias Sauer 0002, Hans-Joachim Wunderlich, Bernd Becker 0001 Mixed 01X-RSL-Encoding for fast and accurate ATPG with unknowns. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Santanu Sarma, Tiago Mück, Majid Shoushtari, Abbas BanaiyanMofrad, Nikil D. Dutt Cross-layer virtual/physical sensing and actuation for resilient heterogeneous many-core SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hyoungseok Moon, Taewhan Kim Design and allocation of loosely coupled multi-bit flip-flops for power reduction in post-placement optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Abdullah Al Faruque, Korosh Vatanparvar Modeling, analysis, and optimization of Electric Vehicle HVAC systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yizi Gu, Yongpan Liu, Yiqun Wang, Hehe Li, Huazhong Yang NVPsim: A simulator for architecture explorations of nonvolatile processors. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Renhai Chen, Zili Shao, Chia-Lin Yang, Tao Li 0006 MCSSim: A memory channel storage simulator. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wei-Ting Jonas Chan, Kun Young Chung, Andrew B. Kahng, Nancy D. MacDonald, Siddhartha Nath Learning-based prediction of embedded memory timing failures during initial floorplan design. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ulf Schlichtmann, Masanori Hashimoto, Iris Hui-Ru Jiang, Bing Li 0005 Reliability, adaptability and flexibility in timing: Buy a life insurance for your circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016, Macao, Macao, January 25-28, 2016 Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  BibTeX  RDF
1Kuan Fan, Ming-Jen Yang, Chung-Yang Huang Automatic abstraction refinement of TR for PDR. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ta-Yang Huang, Chia-Jui Chang, Chung-Wei Lin, Sudip Roy 0001, Tsung-Yi Ho Intra-vehicle network routing algorithm for wiring weight and wireless transmit power minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nima Aghaee, Zebo Peng, Petru Eles An integrated temperature-cycling acceleration and test technique for 3D stacked ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Minjie Lv, Hongbin Sun 0001, Jingmin Xin, Nanning Zheng 0001 Logic-DRAM co-design to efficiently repair stacked DRAM with unused spares. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Aadithya V. Karthik, Sayak Ray, Jaijeet Roychowdhury BEE: Predicting realistic worst case and stochastic eye diagrams by accounting for correlated bitstreams and coding strategies. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bei Yu 0001, David Z. Pan, Tetsuaki Matsunawa, Xuan Zeng 0001 Machine learning and pattern matching in physical design. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yukihide Kohira, Tomomi Matsui, Yoko Yokoyama, Chikaaki Kodama, Atsushi Takahashi 0001, Shigeki Nojima, Satoshi Tanaka Fast mask assignment using positive semidefinite relaxation in LELECUT triple patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Peng Wang, Sheng Ma, Hongyi Lu, Zhiying Wang 0003, Chen Li 0015 Adaptive remaining hop count flow control: Consider the interaction between packets. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wulong Liu, Guoqing Chen, Yu Wang 0002, Huazhong Yang Modeling and optimization of low power resonant clock mesh. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shengcheng Wang, Farshad Firouzi, Fabian Oboril, Mehdi Baradaran Tahoori Stress-aware P/G TSV planning in 3D-ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Junki Hashiba, Toru Kawajiri, Yuya Hasegawa, Hiroki Ishikuro Dual-output wireless power delivery system for small size large volume wireless memory card. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lian Zeng, Takahiro Watanabe A performance enhanced dual-switch Network-on-Chip architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lingxiao Wei, Jie Zhang 0046, Feng Yuan, Yannan Liu, Junfeng Fan, Qiang Xu 0001 Vulnerability analysis for crypto devices against probing attack. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zipeng Li, Tsung-Yi Ho, Krishnendu Chakrabarty Design and optimization of 3D digital microfluidic biochips for the polymerase chain reaction. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zih-Ci Huang, Chi-Kang Chen, Ren-Song Tsay AROMA: A highly accurate microcomponent-based approach for embedded processor power analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mimi Xie, Chen Pan, Jingtong Hu, Chengmo Yang, Yiran Chen 0001 Checkpoint-aware instruction scheduling for nonvolatile processor with multiple functional units. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu-Wei Wu, Yiyu Shi 0001, Sudip Roy 0001, Tsung-Yi Ho Obstacle-avoiding wind turbine placement for power-loss and wake-effect optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mojtaba Ebrahimi, Razi Seyyedi, Liang Chen 0014, Mehdi Baradaran Tahoori Event-driven transient error propagation: A scalable and accurate soft error rate estimation approach. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ji Li 0006, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zigang Xiao, Yuelin Du, Martin D. F. Wong, He Yi, H.-S. Philip Wong, Hongbo Zhang 0001 Contact pitch and location prediction for Directed Self-Assembly template verification. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Soroush Khaleghi, Kai Da Zhao, Wenjing Rao IC Piracy prevention via Design Withholding and Entanglement. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nau Ozaki, Masato Uchiyama, Yasuki Tanabe, Shuichi Miyazaki, Takaaki Sawada, Takanori Tamai, Moriyasu Banno Implementation and evaluation of image recognition algorithm for an intelligent vehicle using heterogeneous multi-core SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sixing Lu, Minjun Seo, Roman Lysecky Timing-based anomaly detection in embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hsiao-Wei Chien, Jyun-Long Lai, Chao-Chieh Wu, Chih-Tsun Huang, Ting-Shuo Hsu, Jing-Jia Liou Design of a scalable many-core processor for embedded applications. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Volker Meyer zu Bexten, Markus Tristl, Göran Jerke, Hartmut Marquardt, Dina Medhat Physical verification flow for hierarchical analog ic design constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jiaxing Zhang, Sanyuan Tang, Gunar Schirner Reducing Dynamic Dispatch Overhead (DDO) of SLDL-synthesized embedded software. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daifeng Guo, Yuelin Du, Martin D. F. Wong Polynomial time optimal algorithm for stencil row planning in e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yozaburo Nakai, Shintaro Izumi, Ken Yamashita, Masanao Nakano, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 14µA ECG processor with noise tolerant heart rate extractor and FeRAM for wearable healthcare systems. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #1001 - #1100 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license