The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPD"( http://dblp.L3S.de/Venues/ISPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispd

Publication years (Num. hits)
1997 (34) 1998 (32) 1999 (33) 2000 (35) 2001 (36) 2002 (35) 2003 (32) 2004 (34) 2005 (45) 2006 (40) 2007 (33) 2008 (34) 2009 (34) 2010 (37) 2011 (31) 2012 (34) 2013 (39) 2014 (31) 2015 (30) 2016 (32) 2017 (32) 2018 (28) 2019 (40) 2020 (23) 2021 (27) 2022 (42) 2023 (50) 2024 (50)
Publication types (Num. hits)
inproceedings(956) proceedings(27)
Venues (Conferences, Journals, ...)
ISPD(983)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 841 occurrences of 340 keywords

Results
Found 983 publication records. Showing 983 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Haoxing Ren Embracing Machine Learning in EDA. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Iris Hui-Ru Jiang, Yao-Wen Chang, Jiun-Lang Huang, Charlie Chung-Ping Chen Intelligent Design Automation for Heterogeneous Integration. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Armen Kteyan, Jun-Ho Choy, Valeriy Sukharev, Massimo Bertoletti, Carmelo Maiorca, Rossana Zadra, Massimo Inzaghi, Gabriele Gattere, Giancarlo Zinco, Paolo Valente, Roberto Bardelli, Alessandro Valerio, Pierluigi Rolandi, Mattia Monetti, Valentina Cuomo, Salvatore Santapa Novel Methodology for Assessing Chip-Package Interaction Effects onChip Performance. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jürgen Scheible Optimized is Not Always Optimal - The Dilemma of Analog Design Automation. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Helmut Graeb Analog Synthesis - The Deterministic Way. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gracieli Posser, Evangeline F. Y. Young, Stephan Held, Yih-Lang Li, David Z. Pan Challenges and Approaches in VLSI Routing. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dean Drako The Need for Speed: From Electric Supercars to Cloud Bursting for Design. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vishal Khandelwal Machine-Learning Enabled PPA Closure for Next-Generation Designs. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ricardo Augusto da Luz Reis A Lifetime of Physical Design Automation and EDA Education: ISPD 2022 Lifetime Achievement Award Bio. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Narender Hanchate Improving Chip Design Performance and Productivity Using Machine Learning. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tanay Karnik Recent Advances and Future Challenges in 2.5D/3D Heterogeneous Integration. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Ravi Varadarajan, Zhiang Wang RTL-MP: Toward Practical, Human-Quality Chip Planning and Macro Placement. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gauthaman Murali, Sandra Maria Shaji, Anthony Agnesina, Guojie Luo, Sung Kyu Lim ART-3D: Analytical 3D Placement with Reinforced Parameter Tuning for Monolithic 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ramprasath S 0001, Meghna Madhusudan, Arvind K. Sharma, Jitesh Poojary, Soner Yaldiz, Ramesh Harjani, Steven M. Burns, Sachin S. Sapatnekar Analog/Mixed-Signal Layout Optimization using Optimal Well Taps. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ingrid Verbauwhede Hardware Security: Physical Design versus Side-Channel and Fault Attacks. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Summer Yue, Ebrahim M. Songhori, Joe Wenjie Jiang, Toby Boyd, Anna Goldie, Azalia Mirhoseini, Sergio Guadarrama Scalability and Generalization of Circuit Training for Chip Floorplanning. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ming Zhang 3DIC Design: Challenges and Opportunities in System-of-Chips Integration. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Giovanni De Micheli Design and Optimization of Quantum Electronic Circuits. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Goel Challenges and Solutions for 3D Fabric: A Foundry Perspective. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Po-Yan Chen, Bing-Ting Ke, Tai-Cheng Lee, I-Ching Tsai, Tai-Wei Kung, Li-Yi Lin, En-Cheng Liu, Yun-Chih Chang, Yih-Lang Li, Mango C.-T. Chao A Reinforcement Learning Agent for Obstacle-Avoiding Rectilinear Steiner Tree Construction. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Renato Hentschke Physical Design at the Transistor Level Beyond Standard-Cell Methodology. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jean-Philippe Fricker The Cerebras CS-2: Designing an AI Accelerator around the World's Largest 2.6 Trillion Transistor Chip. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Donghao Fang, Boyang Zhang, Hailiang Hu, Wuxi Li, Bo Yuan 0001, Jiang Hu Global Placement Exploiting Soft 2D Regularity. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chien-Pang Lu, Iris Hui-Ru Jiang, Chih-Wen Yang Clock Design Methodology for Energy and Computation Efficient Bitcoin Mining Machines. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiang Gao, Yi-Min Jiang, Lixin Shao, Pedja Raspopovic, Menno E. Verbeek, Manish Sharma, Vineet Rashingkar, Amit Jalota Congestion and Timing Aware Macro Placement Using Machine Learning Predictions from Different Data Sources: Cross-design Model Applicability and the Discerning Ensemble. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ricardo Augusto da Luz Reis Physical Design Optimization, From Past to Future. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sameer Halepete Accelerating the Design and Performance of Next Generation Computing Systems with GPUs. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chung-Hsien Wu, Wai-Kei Mak, Chris Chu Linear-time Mixed-Cell-Height Legalization for Minimizing Maximum Displacement. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mohammad T. Khasawneh, Patrick H. Madden What's So Hard About (Mixed-Size) Placement? Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Laleh Behjat, Stephen Yang (eds.) ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27 - 30, 2022 Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sarp Özdemir, Mohammad Khasawneh, Smriti Rao, Patrick H. Madden Kernel Mapping Techniques for Deep Learning Neural Network Accelerators. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alex Rabinovitch On Ensuring Congruency with Implementation During Emulation and Prototyping. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1David G. Chinnery, Ankur Sharma 0001 Integrating LR Gate Sizing in an Industrial Place-and-Route Flow. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wen-Hao Liu, Bing Chen, Hua-Yu Chang, Gary Lin, Zi-Shen Lin Challenges for Automating Package Routing. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sai Pentapati, Sung Kyu Lim Routing Layer Sharing: A New Opportunity for Routing Optimization in Monolithic 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Aiqun Cao Triple-play of Hyperconvergency, Analytics, and AI Innovations in the SysMoore Era. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Patrick R. Groeneveld From Hard-Coded Heuristics to ML-Driven Optimization: New Frontiers for EDA. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Johann Knechtel, Jayanth Gopinath, Mohammed Ashraf, Jitendra Bhandari, Ozgur Sinanoglu, Ramesh Karri Benchmarking Security Closure of Physical Layouts: ISPD 2022 Contest. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hao Chen 0059, Walker J. Turner, Sanquan Song, Keren Zhu 0001, George F. Kokai, Brian Zimmer, C. Thomas Gray, Brucek Khailany, David Z. Pan, Haoxing Ren AutoCRAFT: Layout Automation for Custom Circuits in Advanced FinFET Technologies. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Diwesh Pandey, Gustavo E. Téllez, James Leland LEO: Line End Optimizer for Sub-7nm Technology Nodes. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Matthew M. Ziegler, Lakshmi N. Reddy, Robert L. Franch Design Flow Parameter Optimization with Multi-Phase Positive Nondeterministic Tuning. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tonmoy Dhar, Kishor Kunal, Yaguang Li, Yishuang Lin, Meghna Madhusudan, Jitesh Poojary, Arvind K. Sharma, Steven M. Burns, Ramesh Harjani, Jiang Hu, Parijat Mukherjee, Soner Yaldiz, Sachin S. Sapatnekar Machine Learning Techniques in Analog Layout Automation. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Louis K. Scheffer The Physical Design of Biological Systems - Insights from the Fly Brain. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ganesh Gore, Xifan Tang, Pierre-Emmanuel Gaillardon A Scalable and Robust Hierarchical Floorplanning to Enable 24-hour Prototyping for 100k-LUT FPGAs. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jan M. Rabaey Of Brains and Computers. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Louis K. Scheffer A Lifetime of ICs, and Cross-field Exploration: ISPD 2021 Lifetime Achievement Award Bio. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Frank J. C. Lee Physical Design for 3D Chiplets and System Integration. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gage Hills Advances in Carbon Nanotube Technologies: From Transistors to a RISC-V Microprocessor. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Juan C. Rey Physical Verification at Advanced Technology Nodes and the Road Ahead. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bo-Yang Chen, Chi-Chun Fang, Wai-Kei Mak, Ting-Chi Wang Multiple-Layer Multiple-Patterning Aware Placement Refinement for Mixed-Cell-Height Designs. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Johann Knechtel Hardware Security for and beyond CMOS Technology. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Stefan Hougardy, Meike Neuwohner, Ulrike Schorr A Fast Optimal Double Row Legalization Algorithm. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Leon Stok EDA and Quantum Computing: The key role of Quantum Circuits. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Patrick Groeneveld, Michael James 0002, Vladimir Kibardin, Ilya Sharapov, Marvin Tom, Leo Wang ISPD 2021 Wafer-Scale Physics Modeling Contest: A New Frontier for Partitioning, Placement and Routing. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng Advancing Placement. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Matthew E. Taylor Reinforcement Learning for Electronic Design Automation: Successes and Opportunities. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi-Chen Lu, Sai Pentapati, Sung Kyu Lim The Law of Attraction: Affinity-Aware Placement Optimization using Graph Neural Networks. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anna Goldie, Azalia Mirhoseini Reinforcement Learning for Placement Optimization. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ismail S. Bustany, Jinwook Jung, Patrick H. Madden, Natarajan Viswanathan, Stephen Yang Still Benchmarking After All These Years. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bentian Jiang, Xiaopeng Zhang 0009, Lixin Liu, Evangeline F. Y. Young Building up End-to-end Mask Optimization Framework with Self-training. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sai Surya Kiran Pentapati, Bon Woong Ku, Sung Kyu Lim ML-Based Wire RC Prediction in Monolithic 3D ICs with an Application to Full-Chip Optimization. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ivo Bolsens Scalable System and Silicon Architectures to Handle the Workloads of the Post-Moore Era. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jai-Ming Lin, Yang-Tai Kung, Zheng-Yu Huang, I-Ru Chen A Fast Power Network Optimization Algorithm for Improving Dynamic IR-drop. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jens Lienig, Laleh Behjat, Stephen Yang (eds.) ISPD '21: International Symposium on Physical Design, Virtual Event, USA, March 22-24, 2021 Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Pruek Vanna-Iampikul, Chengjia Shao, Yi-Chen Lu, Sai Pentapati, Sung Kyu Lim Snap-3D: A Constrained Placement-Driven Physical Design Methodology for Face-to-Face-Bonded 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lingjun Zhu, Sung Kyu Lim Physical Design Challenges and Solutions for Emerging Heterogeneous 3D Integration Technologies. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wei Li 0159, Guojin Chen, Haoyu Yang, Ran Chen, Bei Yu 0001 Learning Point Clouds in EDA. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Siddhartha Nath, Vishal Khandelwal Machine Learning-Enabled High-Frequency Low-Power Digital Design Implementation At Advanced Process Nodes. Search on Bibsonomy ISPD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuzhe Ma, Zhuolun He, Wei Li 0159, Lu Zhang, Bei Yu 0001 Understanding Graphs in EDA: From Shallow to Deep Learning. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mohammad T. Khasawneh, Patrick H. Madden Hill Climbing with Trees: Detail Placement for Large Windows. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rongjian Liang, Hua Xiang 0001, Diwesh Pandey, Lakshmi N. Reddy, Shyam Ramji, Gi-Joon Nam, Jiang Hu DRC Hotspot Prediction at Sub-10nm Process Nodes Using Customized Convolutional Network. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Johann Knechtel Hardware Security For and Beyond CMOS Technology: An Overview on Fundamentals, Applications, and Challenges. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Apostolos Stefanidis, Dimitrios Mangiras, Chrysostomos Nicopoulos, David G. Chinnery, Giorgos Dimitrakopoulos Design Optimization by Fine-grained Interleaving of Local Netlist Transformations in Lagrangian Relaxation. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Juan C. Rey Physical Verification at Advanced Technology Nodes and the Road Ahead. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Gage Hills, Christian Lau, Tathagata Srimani, Mindy D. Bishop, Pritpal Kanhaiya, Rebecca Ho, Aya G. Amer, Max M. Shulaker Advances in Carbon Nanotube Technologies: From Transistors to a RISC-V Microprocessor. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tao-Chun Yu, Shao-Yun Fang, Hsien-Shih Chiu, Kai-Shun Hu, Philip Hui-Yuh Tai, Cindy Chin-Fang Shen, Henry Sheng Lookahead Placement Optimization with Cell Library-based Pin Accessibility Prediction via Active Learning. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Cliff Hou Physical Design for 3D Chiplets and System Integration. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1William Swartz, Jens Lienig (eds.) ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29 - April 1, 2020, delayed to September 20-23, 2020. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Anna Goldie, Azalia Mirhoseini Placement Optimization with Deep Reinforcement Learning. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Heechun Park, Bon Woong Ku, Kyungwook Chang, Da Eun Shim, Sung Kyu Lim Pseudo-3D Approaches for Commercial-Grade RTL-to-GDS Tool Flow Targeting Monolithic 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ivo Bolsens Scalable System and Silicon Architectures to Handle the Workloads of the Post-Moore Era. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei-Tse Hung, Jun-Yang Huang, Yih-Chih Chou, Cheng-Hong Tsai, Mango Chia-Tso Chao Transforming Global Routing Report into DRC Violation Map with Convolutional Neural Network. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wei Ye 0008, Mohamed Baker Alawieh, Yuki Watanabe, Shigeki Nojima, Yibo Lin, David Z. Pan TEMPO: Fast Mask Topography Effect Modeling with Deep Learning. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hao-Chun Chang, Li-An Huang, Kai-Chiang Wu, Yu-Guang Chen Selective Sensor Placement for Cost-Effective Online Aging Monitoring and Resilience. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Necati Uysal, Juan Ariel Cabrera, Rickard Ewetz Synthesis of Clock Networks with a Mode Reconfigurable Topology and No Short Circuit Current. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sin-Hong Liou, Sean S.-Y. Liu, Richard Sun, Hung-Ming Chen Timing Driven Partition for Multi-FPGA Systems with TDM Awareness. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lingjun Zhu, Kyungwook Chang, Dusan Petranovic, Saurabh Sinha, Yun Seop Yu, Sung Kyu Lim Full-Chip Electro-Thermal Coupling Extraction and Analysis for Face-to-Face Bonded 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kishor Kunal, Tonmoy Dhar, Yaguang Li, Meghna Madhusudan, Jitesh Poojary, Arvind K. Sharma, Wenbin Xu, Steven M. Burns, Ramesh Harjani, Jiang Hu, Parijat Mukherjee, Sachin S. Sapatnekar Learning from Experience: Applying ML to Analog Circuit Design. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Michael James 0002, Marvin Tom, Patrick Groeneveld, Vladimir Kibardin ISPD 2020 Physical Mapping of Neural Networks on a Wafer-Scale Deep Learning Accelerator. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dimitrios Mangiras, Pavlos M. Mattheakis, Pierre-Olivier Ribet, Giorgos Dimitrakopoulos Soft-Clustering Driven Flip-flop Placement Targeting Clock-induced OCV. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yong Zhong, Tao-Chun Yu, Kai-Chuan Yang, Shao-Yun Fang Via Pillar-aware Detailed Placement. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1C. K. Cheng Session details: Physical Design - Where are we going? Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Xiao Shi, Hao Yan 0002, Jinxin Wang, Xiaofen Xu, Fengyuan Liu, Longxing Shi, Lei He 0001 Adaptive Clustering and Sampling for High-Dimensional and Multi-Failure-Region SRAM Yield Analysis. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ya-Chu Chang, Tung-Wei Lin, Iris Hui-Ru Jiang, Gi-Joon Nam Graceful Register Clustering by Effective Mean Shift Algorithm for Power and Timing Balancing. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gyuszi Suto, Geoff S. Greenleaf, Phanindra Bhagavatula, Heinrich R. Fischer, Sanjay K. Soni, Brian H. Miller, Renato Fernandes Hentschke Declarative Language for Geometric Pattern Matching in VLSI Process Rule Modeling. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Muhammet Mustafa Ozdal Improving Programmability and Efficiency of Large-Scale Graph Analytics for FPGA Platforms. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pierluigi Nuzzo 0002 Secure and Trustworthy Cyber-Physical System Design: A Cross-Layer Perspective. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ismail Bustany, William Swartz (eds.) Proceedings of the 2019 International Symposium on Physical Design, ISPD 2019, San Francisco, CA, USA, April 14-17, 2019 Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  BibTeX  RDF
1Shankar Krishnamoorthy Fusion: The Dawn of the Hyperconvergence Era in EDA. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 983 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license