The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Itanium with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2001 (18) 2002 (23) 2003 (34) 2004 (50) 2005 (29) 2006 (38) 2007 (15) 2008 (17) 2009-2011 (15) 2012-2018 (3)
Publication types (Num. hits)
article(46) inproceedings(194) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 216 occurrences of 168 keywords

Results
Found 242 publication records. Showing 242 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Yuri Dotsenko, Cristian Coarfa, John M. Mellor-Crummey A Multi-Platform Co-Array Fortran Compiler. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Youfeng Wu, Yong-Fong Lee Exploiting Free Execution Slots on EPIC Processors for Efficient and Accurate Runtime Profiling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Sujoy Basu, Sumit Roy 0002, Raj Kumar, Tom Fisher, Bruce E. Blaho Peppermint and Sled: Tools for Evaluating SMP Systems Based on IA-64 (IPF) Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, architecture, IA-64
24Youfeng Wu Efficient Discovery of Regular Stride Patterns in Irregular Programs. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated stride and frequency profiling, phased multi-strided loads, strongly single-strided loads, performance evaluation, data prefetching
24Lori Carter, Weihaw Chuang, Brad Calder An EPIC Processor with Pending Functional Units. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, Kevin B. Theobald Power-Performance Trade-Offs for Energy-Efficient Architectures: A Quantitative Study. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Mani Azimi, Faye A. Briggs, Michel Cekleov, Manoj Khare, Akhilesh Kumar, Lily Pao Looi Scalability Port: A Coherent Interface for Shared Memory Multiprocessors. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Rakesh Ghiya, Daniel M. Lavery, David C. Sehr On the Importance of Points-to Analysis and Other Memory Disambiguation Methods for C Programs. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF C
12Peter Sewell Memory, an elusive abstraction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF semantics, relaxed memory models
12Xuejun Yang, Jing Du 0002, Xiaobo Yan, Yu Deng 0001 Matrix-based streamization approach for improving locality and parallelism on FT64 stream processor. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FT64, D&C Matrix, Streamization, Stream organization, Program transformation
12Martin Burtscher, Paruj Ratanaworabhan FPC: A High-Speed Compressor for Double-Precision Floating-Point Data. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Dong Hyuk Woo, Hsien-Hsin S. Lee PROPHET: goal-oriented provisioning for highly tunable multicore processors in cloud computing. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Haibo Chen 0001, Liwei Yuan, Xi Wu 0001, Binyu Zang, Bo Huang 0002, Pen-Chung Yew Control flow obfuscation with information flow tracking. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF control flow obfuscation, opaque predicate, information flow tracking, control speculation
12Leif Azzopardi, Wim Vanderbauwhede, Mahmoud Moadeli Developing energy efficient filtering systems. Search on Bibsonomy SIGIR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, efficiency, filtering
12Nicolas Brisebarre, Jean-Michel Muller Correctly Rounded Multiplication by Arbitrary Precision Constants. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF computer arithmetic, floating-point arithmetic
12Li Wang 0027, Xuejun Yang, Jingling Xue, Yu Deng 0001, Xiaobo Yan, Tao Tang 0001, Quan Hoang Nguyen 0001 Optimizing scientific application loops on stream processors. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF streaming, prefetching, graph coloring, data reuse, loop optimization, stream processor, software-managed cache
12Minhaj Ahmad Khan, Henri-Pierre Charles, Denis Barthou Optimizing code through iterative specialization. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF analysis and transformation, program optimization and specialization, programming languages implementation, compiling techniques
12Jing Du 0002, Fujiang Ao, Xuejun Yang MV-FT: Efficient Implementation for Matrix-Vector Multiplication on FT64 Stream Processor. Search on Bibsonomy ICDS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Miao Wang, Rongcai Zhao, Jianmin Pang, Guoming Cai Reconstructing Control Flow in Modulo Scheduled Loops. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register rotation, modulo scheduling, decompilation, predication execution, conditional branches
12Ying Zhang 0032, Xuejun Yang, Guibin Wang, Ian Rogers, Gen Li 0002, Yu Deng 0001, Xiaobo Yan Scientific Computing Applications on a Stream Processor. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Lars Gesellensetter, Sabine Glesner Interprocedural Speculative Optimization of Memory Accesses to Global Variables. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sun C. Chan, Guang R. Gao, Barbara M. Chapman, T. Linthicum, A. Dasgupta Open64 compiler infrastructure for emerging multicore/manycore architecture All Symposium Tutorial. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Rod Fatoohi Performance evaluation of NSF application benchmarks on parallel systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Samuel Williams 0001, Jonathan Carter, Leonid Oliker, John Shalf, Katherine A. Yelick Lattice Boltzmann simulation optimization on leading multicore platforms. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Dolly Sharma, Vishal Thapar, Reda A. Ammar, Sanguthevar Rajasekaran, Mohamed Ahmed Efficient sorting algorithms for the cell broadband engine. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Andrew J. Wong, Warren J. Gross Configurable Flow Models for FPGA Particle Graphics Engines. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tien-Hsiung Weng, Ruey-Kuen Perng, Barbara M. Chapman OpenMP Implementation of SPICE3 Circuit Simulator. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OpenMP SPICE circuit simulator, Shared-memory programming model
12Sebastian Winkel Optimal versus Heuristic Global Code Scheduling. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Denis Barthou, Sébastien Donadio, Patrick Carribault, Alexandre Duchateau, William Jalby Loop Optimization using Hierarchical Compilation and Kernel Decomposition. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jing Du 0002, Xuejun Yang, Wenjing Yang, Tao Tang 0001, Guibin Wang Implementation and Evaluation of Jacobi Iteration on the Imagine Stream Processor. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Jacobi iteration, computational intensiveness, scientific application, Imagine, matrix-vector multiplication
12Akira Nukada, Daisuke Takahashi, Reiji Suda, Akira Nishida High Performance FFT on SGI Altix 3700. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jean Christophe Beyler, Philippe Clauss Performance driven data cache prefetching in a dynamic software optimization system. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF binary instrumentation, data cache prefetching, dynamic optimization
12Dietmar Ebner, Florian Brandner, Andreas Krall Leveraging Predicated Execution for Multimedia Processing. Search on Bibsonomy ESTIMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Przemyslaw Stpiczynski Evaluating Linear Recursive Filters Using Novel Data Formats for Dense Matrices. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ying Zhang 0032, Tao Tang 0001, Gen Li 0002, Xuejun Yang Implementation and Optimization of Dense LU Decomposition on the Stream Processor. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF producer-consumer locality, stream, scientific computing, kernels, stream processor, LU decomposition
12Rod Fatoohi Performance Evaluation of the Dual-Core Based SGI Altix 4700. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jing Du 0002, Xuejun Yang, Guibin Wang, Tao Tang 0001, Kun Zeng Architecture-Based Optimization for Mapping Scientific Applications to Imagine. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF kernel partition, stream forming, scientific application, Imagine
12Jinpyo Kim, Wei-Chung Hsu, Pen-Chung Yew COBRA: An Adaptive Runtime Binary Optimization Framework for Multithreaded Applications. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Paul A. Karger Performance and security lessons learned from virtualizing the alpha processor. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtualizability, security, virtual machine monitors, hypervisors
12Ram Srinivasan, Jeanine E. Cook, Olaf M. Lubeck Performance modeling using Monte Carlo simulation. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ronald D. Barnes, John W. Sias, Erik M. Nystrom, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating In-Order Stalls with "Flea-Flicker" Two-Pass Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache-miss tolerance, prefetching, out-of-order execution, Runahead execution
12Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai Recovery code generation for general speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Recovery code, multi-level data speculation, speculative SSA form
12Yoonseo Choi, Hwansoo Han Optimal register reassignment for register stack overflow minimization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register stack, sequence graph, register allocation, Register assignment
12Jingling Xue, Qiong Cai A lifetime optimal algorithm for speculative PRE. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF classic PRE, speculative PRE, data-flow analysis, Partial redundancy elimination, lifetime optimality, computational optimality
12Nathaniel McIntosh, Sandya Mannarswamy, Robert Hundt Whole-program optimization of global variable layout. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler-directed memory management, global variable layout, data caches
12Rachid Guerraoui, Ron R. Levy, Bastian Pochon, Vivien Quéma High Throughput Total Order Broadcast for Cluster Environments. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yuichi Nagata New EAX Crossover for Large TSP Instances. Search on Bibsonomy PPSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Fred G. Gustavson, Jerzy Wasniewski Rectangular Full Packed Format for LAPACK Algorithms Timings on Several Computers. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jacek Dabrowski 0003 Parallelization Techniques for Tabu Search. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Przemyslaw Stpiczynski New Data Distribution for Solving Triangular Systems on Distributed Memory Machines. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Stef Graillat, Philippe Langlois, Nicolas Louvet Improving the compensated Horner scheme with a fused multiply and add. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IEEE-754 floating point arithmetic, error-free transformations, fused multiply and add, horner scheme, polynomial evaluation
12Jamel Tayeb, Smaïl Niar Adapting EPIC Architecture's Register Stack for Virtual Stack Machines. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Dhruva R. Chakrabarti, Shin-Ming Liu Inline Analysis: Beyond Selection Heuristics. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Shoaib Kamil 0001, Kaushik Datta, Samuel Williams 0001, Leonid Oliker, John Shalf, Katherine A. Yelick Implicit and explicit optimizations for stencil computations. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jafar Adibi, Tim Barrett, Spundun Bhatt, Hans Chalupsky, Jacqueline Chame, Mary W. Hall Processing-in-memory technology for knowledge discovery algorithms. Search on Bibsonomy DaMoN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Anna Slobodová Challenges for Formal Verification in Industrial Setting. Search on Bibsonomy FMICS/PDMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Przemyslaw Stpiczynski, Joanna Potiopa Piecewise Cubic Interpolation on Distributed Memory Parallel Computers and Clusters of Workstations. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Abhinav Das, Rao Fu, Antonia Zhai, Wei-Chung Hsu Issues and Support for Dynamic Register Allocation. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Siham Tabik, Luis F. Romero, Ester M. Garzón, Juan I. Ramos 0001 Parallel Simulation of Three-Dimensional Bursting with MPI and OpenMP. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Minhaj Ahmad Khan, Henri-Pierre Charles Applying Code Specialization to FFT Libraries for Integral Parameters. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ram Srinivasan, Jeanine E. Cook, Olaf M. Lubeck Ultra-Fast CPU Performance Prediction: Extending the Monte Carlo Approach. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Zong-Yu Song, Ming Su Predicate Elimination Technique in Binary Translation for IA-64 Architecture. Search on Bibsonomy ICAT Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Motoi Okuda Roadmaps and visions II - Fujitsu's vision for high performance computing. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Mark M. Mathis, Darren J. Kerbyson A General Performance Model of Structured and Unstructured Mesh Particle Transport Computations. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SN transport, performance analysis, parallel processing, performance modeling, high performance computing, large-scale systems, unstructured meshes
12 Tools and Products. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2005 DBLP  DOI  BibTeX  RDF front-projection screen, high-resolution grahpics, 2D storyboarding, 3D character animation, 3D models, HDTV
12Janis Sermulins, William Thies, Rodric M. Rabbah, Saman P. Amarasinghe Cache aware optimization of stream programs. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache, embedded, fusion, cache optimizations, synchronous dataflow, stream programing, StreamIt
12Robert W. Taylor New Generation Scalable and Dependable Servers. Search on Bibsonomy NCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Cristian Constantinescu Neutron SER Characterization of Microprocessors. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Soohong P. Kim Pre-Silicon Validation of IPF Memory Ordering for Multi-Core Processors. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12George A. Reis, Jonathan Chang, Neil Vachharajani, Ram Rangan, David I. August SWIFT: Software Implemented Fault Tolerance. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sylvie Boldo, Jean-Michel Muller Some Functions Computable with a Fused-Mac. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Arch D. Robison N-Bit Unsigned Division via N-Bit Multiply-Add. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12David W. Bauer, Garrett R. Yaun, Christopher D. Carothers, Murat Yuksel, Shivkumar Kalyanaraman Seven-O'Clock: A New Distributed GVT Algorithm Using Network Atomic Operations. Search on Bibsonomy PADS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Alexandros Stamatakis, Michael Ott 0001, Thomas Ludwig 0002 RAxML-OMP: An Efficient Program for Phylogenetic Inference on SMPs. Search on Bibsonomy PaCT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chi-Keung Luk, Robert S. Cohn, Robert Muth, Harish Patil, Artur Klauser, P. Geoffrey Lowney, Steven Wallace, Vijay Janapa Reddi, Kim M. Hazelwood Pin: building customized program analysis tools with dynamic instrumentation. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF program analysis tools, instrumentation, dynamic compilation
12Hongbo Rong, Alban Douillet, Guang R. Gao Register allocation for software pipelined multi-dimensional loops. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register allocation, software pipelining
12Gary Gostin, Jean-Francois Collard, Kirby Collins The architecture of the HP Superdome shared-memory multiprocessor. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Paul R. Woodward, David H. Porter, Michael R. Knox, Steven T. Andringa, Alex J. Larson, Aaron Stender A System for Interactive Volume Visualization on the PowerWall. Search on Bibsonomy IEEE Visualization The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Prasad Jayanti, Srdjan Petrovic Efficiently Implementing a Large Number of LL/SC Objects. Search on Bibsonomy OPODIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Prasad Jayanti, Srdjan Petrovic Efficiently Implementing LL/SC Objects Shared by an Unknown Number of Processes. Search on Bibsonomy IWDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yoshinori Ojima, Mitsuhisa Sato, Taisuke Boku, Daisuke Takahashi Design of a Software Distributed Shared Memory System using an MPI communication layer. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ronald Veldema, Michael Philippsen Near Overhead-free Heterogeneous Thread-migration. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jianhui Li, Peng Zhang, Orna Etzion Module-aware translation for real-life desktop applications. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic loaded module, translation reuse, memory management, dynamic binary translation
12Kanad Chakraborty Testing and Reliability Techniques for High-Bandwidth Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiport RAM, BIST (built-in self-test), BISR (built-in self-repair), column-multiplexed addressing, fault tolerance, reliability, bandwidth
12Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai A Compiler Framework for Recovery Code Generation in General Speculative Optimizations. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ganesh Gopalakrishnan, Yue Yang, Hemanthkumar Sivaraj QB or Not QB: An Efficient Execution Verification Tool for Memory Orderings. Search on Bibsonomy CAV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Eun-Jin Im, Ismail Bustany, Cleve Ashcraft, James Demmel, Katherine A. Yelick Performance Tuning of Matrix Triple Products Based on Matrix Structure. Search on Bibsonomy PARA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Fred G. Gustavson, Jerzy Wasniewski High Performance Linear Algebra Algorithms: An Introduction. Search on Bibsonomy PARA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Faye A. Briggs, Suresh Chittor, Kai Cheng Micro-architecture techniques in the intel E8870 scalable memory controller. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF distributed coherency, transaction flows, scalability, memory latency
12Sungdo Moon, Xinliang D. Li, Robert Hundt, Dhruva R. Chakrabarti, Luis A. Lozano, Uma Srinivasan 0004, Shin-Ming Liu SYZYGY - A Framework for Scalable Cross-Module IPO. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ali-Reza Adl-Tabatabai, Jay Bharadwaj, Michal Cierniak, Marsha Eng, Jesse Fang, Brian T. Lewis, Brian R. Murphy, James M. Stichnoth Improving 64-Bit Java IPF Performance by Compressing Heap References. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen Hardware Support for Prescient Instruction Prefetch. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xinmin Tian, Milind Girkar Effect of Optimizations on Performance of OpenMP Programs. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Peter Sanders 0001, Sebastian Winkel Super Scalar Sample Sort. Search on Bibsonomy ESA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tong Chen 0010, Jin Lin, Xiaoru Dai, Wei-Chung Hsu, Pen-Chung Yew Data Dependence Profiling for Speculative Optimizations. Search on Bibsonomy CC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Qiong Cai, Lin Gao 0002, Jingling Xue Region-Based Partial Dead Code Elimination on Predicated Code. Search on Bibsonomy CC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Darren J. Kerbyson, Michael Lang 0003, Gene Patino, Hossein Amidi An empirical performance analysis of commodity memories in commodity servers. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance analysis, performance measurement, memory modules, memory system performance
12Karl Fürlinger, Olaf Schenk, Michael Hagemann Task-Queue Based Hybrid Parallelism: A Case Study. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mark M. Mathis, Darren J. Kerbyson Performance Modeling of Unstructered Mesh Particle Transport Computations. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney Prefetch inection based on hardware monitoring and object metadata. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization
Displaying result #101 - #200 of 242 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license