The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multithreading with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1993 (16) 1994-1995 (28) 1996 (31) 1997 (26) 1998 (27) 1999 (49) 2000 (43) 2001 (42) 2002 (52) 2003 (55) 2004 (74) 2005 (76) 2006 (82) 2007 (83) 2008 (75) 2009 (76) 2010 (46) 2011 (21) 2012 (19) 2013 (15) 2014 (24) 2015 (17) 2016 (18) 2017 (15) 2018 (17) 2019 (17) 2020 (17) 2021-2022 (23) 2023-2024 (9)
Publication types (Num. hits)
article(227) book(4) incollection(7) inproceedings(838) phdthesis(17)
Venues (Conferences, Journals, ...)
IPDPS(42) PPoPP(30) ISCA(27) IEEE Trans. Computers(25) HPCA(21) ICS(19) Euro-Par(18) MICRO(18) IEEE Trans. Parallel Distribut...(16) IEEE PACT(15) PACT(15) ASPLOS(13) HiPC(13) ICPP(13) PLDI(12) CASES(11) More (+10 of total 414)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1414 occurrences of 593 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Prodromos Gerakios, Nikolaos Papaspyrou, Konstantinos Sagonas Race-free and memory-safe multithreading: design and implementation in cyclone. Search on Bibsonomy TLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF safe multithreading, type and effect systems, region-based memory management, cyclone
30Fabrice Dupros, Hideo Aochi, Ariane Ducellier, Dimitri Komatitsch, Jean Roman Exploiting Intensive Multithreading for the Efficient Simulation of 3D Seismic Wave Propagation. Search on Bibsonomy CSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF seismic wave propagation, mixed-hybrid programming, load balancing, multithreading
30Joseph J. Sharkey, Dmitry V. Ponomarev Exploiting Operand Availability for Efficient Simultaneous Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simultaneous multithreading, Issue queue
30Luís M. B. Lopes, Vasco Thudichum Vasconcelos, Fernando M. A. Silva Fine-Grained Multithreading with Process Calculi. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multithreading, abstract-machine, Process-calculus
30Fabio A. D. de Oliveira, Rafael Bohrer Ávila, Marcos E. Barreto, Philippe Olivier Alexandre Navaux, César A. F. De Rose DECK-SCI: High-Performance Communication and Multithreading for SCI Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF message passing, cluster computing, multithreading, high-performance networks, SCI
30Luís M. B. Lopes, Fernando M. A. Silva, Vasco Thudichum Vasconcelos Fine Grained Multithreading with Process Calculi. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Multithreading, Abstract-Machine, Process-Calculus
30Ernest Artiaga, Albert Serra, Marisa Gil Porting multithreading libraries to an exokernel system. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CThreads, ExOS, Xok, exokernel, multiprocessor, multithreading
30Venkata Krishnan, Josep Torrellas A Chip-Multiprocessor Architecture with Speculative Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Chip-multiprocessor, speculative multithreading, data-dependence speculation, control speculation
27Liu Peng, Richard Seymour, Ken-ichi Nomura, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta, Alexander Loddoch, Michael Netzband, William R. Volz, Chap C. Wong High-order stencil computations on multicore clusters. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27John Cieslewicz, Jonathan W. Berry, Bruce Hendrickson, Kenneth A. Ross Realizing parallelism in database operations: insights from a massively multithreaded architecture. Search on Bibsonomy DaMoN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27David W. Oehmke, Nathan L. Binkert, Trevor N. Mudge, Steven K. Reinhardt How to Fake 1000 Registers. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jamison D. Collins, Dean M. Tullsen Clustered Multithreaded Architectures - Pursuing both IPC and Cycle Time. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Abhik Roychoudhury, Tulika Mitra Specifying multithreaded Java semantics for program verification. Search on Bibsonomy ICSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Java, JavaScript
27Gurindar S. Sohi, Amir Roth Speculative Multithreaded Processors. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Greg Law, Julie A. McCann Decomposition of preemptive scheduling in the Go! component-based operating system. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Roger Espasa, Mateo Valero Multithreaded Vector Architectures. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Xinmin Tian, Shashank S. Nemawarkar, Guang R. Gao, Herbert H. J. Hum Data locality sensitivity of multithreaded computations on a distributed-memory multiprocessor. Search on Bibsonomy CASCON The full citation details ... 1996 DBLP  BibTeX  RDF
26Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
26Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
26Pavel G. Zaykov, Georgi Kuzmanov, Georgi Nedeltchev Gaydadjiev Reconfigurable Multithreading Architectures: A Survey. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Claude Kaiser, Jean-François Pradat-Peyre Weak Fairness Semantic Drawbacks in Java Multithreading. Search on Bibsonomy Ada-Europe The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Jonathan Barre, Christine Rochange, Pascal Sainrat A Predictable Simultaneous Multithreading Scheme for Hard Real-Time. Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26You-Ming Tsao, Ka-Hang Lok, Yu-Cheng Lin, Chih-Hao Sun, Shao-Yi Chien, Liang-Gee Chen A cost effective reconfigurable memory for multimedia multithreading streaming architecture. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Kyriakos Stavrou, Marios Nikolaides, Demos Pavlou, Samer Arandi, Paraskevas Evripidou, Pedro Trancoso TFlux: A Portable Platform for Data-Driven Multithreading on Commodity Multicore Systems. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Niti Madan, Rajeev Balasubramonian Power Efficient Approaches to Redundant Multithreading. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant multi-threading (RMT), dynamic frequency scaling, Reliability, power, soft errors, transient faults, heterogeneous chip multiprocessors
26Giovanni Malnati, Caterina Maria Cuva, Claudia Barberis JThreadSpy: teaching multithreading programming by analyzing execution traces. Search on Bibsonomy PADTAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF execution trace analysis, runtime code instrumentation, education, object-oriented, concurrent programming, software visualization
26James Tuck 0001, Wei Liu 0014, Josep Torrellas CAP: Criticality analysis for power-efficient speculative multithreading. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Alex Gontmakher, Avi Mendelson, Assaf Schuster Using fine grain multithreading for energy efficient computing. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register sharing, energy efficiency, fine grain parallelization
26Hirokazu Murao Experiment of Multithreading Symbolic and Algebraic Computations with OpenMP. Search on Bibsonomy ICMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Wei-Chun Ku, Shu-Hsuan Chou, Jui-Chin Chu, Chih-Heng Kang, Tien-Fu Chen, Jiun-In Guo Collaborative Multithreading: An Open Scalable Processor Architecture for Embedded Multimedia Applications. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris Exploring the Performance Limits of Simultaneous Multithreading for Scientific Codes. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Carlos García 0001, Manuel Prieto 0001, Javier Setoain, Francisco Tirado Enhancing the Performance of Multigrid Smoothers in Simultaneous Multithreading Architectures. Search on Bibsonomy VECPAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kyriakos Stavrou, Paraskevas Evripidou, Pedro Trancoso DDM-CMP: Data-Driven Multithreading on a Chip Multiprocessor. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Lawrence Spracklen, Santosh G. Abraham Chip Multithreading: Opportunities and Challenges. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Mukund Buddhikot, Sanjay Goil Throughput Computing with Chip MultiThreading and Clusters. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Chen Liu 0001, Jean-Luc Gaudiot Static Partitioning vs Dynamic Sharing of Resources in Simultaneous MultiThreading Microarchitectures. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Bo Liang, Hong An, Fang Lu, Rui Guo Improving Latency Tolerance of Network Processors Through Simultaneous Multithreading. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Tipp Moseley, Dirk Grunwald, Joshua L. Kihm, Daniel A. Connors Methods for Modeling Resource Contention on Simultaneous Multithreading Processors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Pen-Chung Yew Using Speculative Multithreading for General-Purpose Applications. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Carmelo Acosta, Ayose Falcón, Alex Ramírez, Mateo Valero A Complexity-Effective Simultaneous Multithreading Architecture. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Complexity-Effective, Heterogeneity-Awareness, Mapping Policies, Clustering, CMP, SMT
26Ayose Falcón, Alex Ramírez, Mateo Valero A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Michael Van Biesbrouck, Timothy Sherwood, Brad Calder A co-phase matrix to guide simultaneous multithreading simulation. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Dongsoo Kang, Jean-Luc Gaudiot Speculation Control for Simultaneous Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Yoshimitsu Yanagawa, Luong Dinh Hung, Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Complexity Analysis of a Cache Controller for Speculative Multithreading Chip Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Praveen Dongara, T. N. Vijaykumar Accelerating private-key cryptography via multithreading on symmetric multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Jamison Masse, Saehwa Kim, Seongsoo Hong Tool Set Implementation for Scenario-based Multithreading of UML-RT Models and Experimental Validation. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Panit Watcharawitch, Simon W. Moore JMA: The Java-Multithreading Architecture for Embedded Processors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Shubhendu S. Mukherjee, Michael Kontz, Steven K. Reinhardt Detailed Design and Evaluation of Redundant Multithreading Alternatives. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Michael Sung, Ronny Krashinsky, Krste Asanovic Multithreading decoupled architectures for complexity-effective general purpose computing. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Dean M. Tullsen, Jeffery A. Brown Handling long-latency loads in a simultaneous multithreading processor. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Milo M. K. Martin, Daniel J. Sorin, Harold W. Cain, Mark D. Hill, Mikko H. Lipasti Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Improving Conditional Branch Prediction on Speculative Multithreading Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Chi-Keung Luk Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Kemathat Vibhatavanij, Nian-Feng Tzeng, Angkul Kongmunvattana Simultaneous Multithreading-Based Routers. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Renato J. O. Figueiredo, Jeffrey P. Bradford, José A. B. Fortes Improving the Performance of Heterogeneous DSMs via Multithreading. Search on Bibsonomy VECPAR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Allan Snavely, Dean M. Tullsen Symbiotic Jobscheduling for a Simultaneous Multithreading Processor. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Chun-Mok Chung, Shin-Dug Kim A Dualthreaded Java Processor for Java Multithreading. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Edward D. Moreno, Sergio Takeo Kofuji, Marcelo H. Cintra Prefetching and Multithreading Performance in Bus-Based Multiprocessors with Petri Nets. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26David E. Ruddock, Balakrishnan Dasarathy Multithreading Programs: Guidelines for DCE Applications. Search on Bibsonomy IEEE Softw. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Bernard Goossens, Duc Thang Vu Multithreading to Improve Cycle Width and CPI in Superpipelined Superscalar Processors. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Superpipelined Processors, Architecture, Instruction Level Parallelism, Superscalar Processors, Multithreaded Processors
22Nathan R. Tallent, John M. Mellor-Crummey, Allan Porterfield Analyzing lock contention in multithreaded applications. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance analysis, multithreading, hpctoolkit, lock contention
22Eriko Nurvitadhi, James C. Hoe, Shih-Lien Lu, Timothy Kam Automatic multithreaded pipeline synthesis from transactional datapath specifications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF automatic pipelining, datapath specification, design exploration of x86 processor pipelines, multithreading, hardware synthesis
22Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Milind Girkar, Xinmin Tian, Hideki Saito 0001 On the exploitation of loop-level parallelism in embedded applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multithreading, Multi-cores, vectorization, libraries, programming models, thread-level speculation, parallel loops, system-on-chip (Soc)
22Cristinel Ababei Parallel placement for FPGAs revisited. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga placement, multithreading, parallel simulated annealing
22Kim M. Hazelwood, Greg Lueck, Robert Cohn Scalable support for multithreaded applications on dynamic binary instrumentation systems. Search on Bibsonomy ISMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic binary instrumentation, scalability, multithreading, memory management
22Vladimir Cakarevic, Petar Radojkovic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Characterizing the resource-sharing levels in the UltraSPARC T2 processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun Nigara T2, CMP, job scheduling, simultaneous multithreading, performance characterization, CMT
22Gabriel Falcão Paiva Fernandes, Vítor Manuel Mendes da Silva, Leonel Sousa How GPUs can outperform ASICs for fast LDPC decoding. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory coalescence, parallel processing, graphics processing units, multithreading, low-density parity-check codes
22Stijn Eyerman, Lieven Eeckhout Per-thread cycle accounting in SMT processors. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cycle accounting, simultaneous multithreading (smt), thread-progress aware fetch policy
22Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
22Wessam Hassanein, Layali K. Rashid, Moustafa A. Hammad Analyzing the Effects of Hyperthreading on the Performance of Data Management Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hyper-threaded architectures, Performance, Databases, Simultaneous multithreading, Data management systems
22Joseph J. Sharkey, Jason Loew, Dmitry V. Ponomarev Reducing register pressure in SMT processors through L2-miss-driven early register release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register file, Simultaneous multithreading
22Huaping Wang, Israel Koren, C. Mani Krishna 0001 An adaptive resource partitioning algorithm for SMT processors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simultaneous multithreading, resource partitioning
22Yijun Wang, Liyuan Zhang, Yu Sheng, Hang Zhang Design and Implementation of Principles of Computer Organization Virtual Lab Based on Component. Search on Bibsonomy WBL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF PCO (Principles of Computer Organization), multithreading, data-driven, virtual lab, Java bean
22Steven Swanson, Andrew Schwerin, Martha Mercaldi, Andrew Petersen 0001, Andrew Putnam, Ken Michelson, Mark Oskin, Susan J. Eggers The WaveScalar architecture. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WaveScalar, multithreading, dataflow computing
22Hojung Cha, Sukwon Choi, Inuk Jung, Hyoseung Kim 0001, Hyojeong Shin, Jaehyun Yoo, Chanmin Yoon RETOS: resilient, expandable, and threaded operating system for wireless sensor networks. Search on Bibsonomy IPSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor network, operating systems, multithreading
22Jayaram Mudigonda, Harrick M. Vin, Stephen W. Keckler Reconciling performance and programmability in networking systems. Search on Bibsonomy SIGCOMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memoty bottleneck, multithreading, reconfigurable architectures, routers, data cache, processor architectures, packet processing
22Joseph J. Sharkey, Dmitry V. Ponomarev An L2-miss-driven early register deallocation for SMT processors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register files, simultaneous multithreading
22Parry Husbands, Katherine A. Yelick Multi-threading and one-sided communication in parallel LU factorization. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multithreading, latency tolerance, dense linear algebra
22Kristen R. Walcott, Greg Humphreys, Sudhanva Gurumurthi Dynamic prediction of architectural vulnerability from microarchitectural state. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architecture vulnerability factor, redundant multithreading, performance, reliability, microarchitecture
22Seong-Won Lee, Jean-Luc Gaudiot Throttling-Based Resource Management in High Performance Multithreaded Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Resource management, power management, multithreading, resource utilization, throttling
22Claudio Basile, Zbigniew Kalbarczyk, Ravishankar K. Iyer Active Replication of Multithreaded Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault tolerance, replication, multithreading, fault injection, nondeterminism
22Philip Garcia, Henry F. Korth Database hash-join algorithms on multithreaded computer architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, database, multithreading, software pipelining, SMT, memory performance, software prefetching, hash-join
22Mee Young Sung, Suk-Min Whang, Yonghee Yoo, Nam-Joong Kim, Jong Seung Park, Wonik Choi Parallel Processing for Reducing the Bottleneck in Realtime Graphics Rendering. Search on Bibsonomy PCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Realtime graphics rendering, Distribution of rendering operations, Optimization, Parallel processing, Multithreading, Bottleneck
22Shailender Chaudhry, Paul Caprioli, Sherman Yip, Marc Tremblay High-Performance Throughput Computing. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware scout, CMP, multithreading, multicore, microprocessor, CMT
22Peter G. Sassone, D. Scott Wills Scaling Up the Atlas Chip-Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic multithreading, chip-multiprocessor, scaling
22Sascha Uhrig, Theo Ungerer Energy Management for Embedded Multithreaded Processors with Integrated EDF Scheduling. Search on Bibsonomy ARCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy-aware program execution, multithreading, real-time scheduling, energy management, EDF scheduling
22Federico Meza, Jorge Pérez R., Yadran Eterovic Implementing Distributed Mutual Exclusion on Multithreaded Environments: The Alien-Threads Approach. Search on Bibsonomy ISSADS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parallel programming, multithreading, concurrent programming, distributed shared memory, Distributed mutual exclusion
22Ali El-Haj-Mahmoud, Ahmed S. Al-Zawawi, Aravindh Anantaraman, Eric Rotenberg Virtual multiprocessor: an analyzable, high-performance architecture for real-time computing. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF worst-case execution time, schedulability analysis, superscalar processor, simultaneous multithreading, hard real-time, resource partitioning
22Sergey V. Polyakov, Assaf Schuster Verification of the Java Causality Requirements. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, Verification, Complexity, Concurrency, Shared Memory, Multithreading, Memory Model
22Matthias Pfeffer, Theo Ungerer, Stephan Fuhrmann, Jochen Kreuzinger, Uwe Brinkschulte Real-Time Garbage Collection for a Multithreaded Java Microcontroller. Search on Bibsonomy Real Time Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Java microprocessor, real-time, garbage collection, multithreading, microcontroller
22Mikael Omma On Building Multithreaded Applications. Search on Bibsonomy IEEE Distributed Syst. Online The full citation details ... 2004 DBLP  DOI  BibTeX  RDF .Net Multithreading, Book review
22Ali El-Haj-Mahmoud, Eric Rotenberg Safely exploiting multithreaded processors to tolerate memory latency in real-time systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, multithreading, worst-case execution time, memory latency, schedulability test
22Mary Kiemb, Kiyoung Choi Memory and architecture exploration with thread shifting for multithreaded processors in embedded systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, design space exploration, simultaneous multithreading, SMT
22Michel Dubois 0001 Fighting the memory wall with assisted execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance
22Takenori Koushiro, Toshinori Sato, Itsujiro Arita A trace-level value predictor for Contrail processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF traceconstruction, energy efficiency, simultaneous multithreading, value prediction, chip multi processors
22Tor M. Aamodt, Pedro Marcuello, Paul Chow, Antonio González 0001, Per Hammarlund, Hong Wang 0003, John Paul Shen A framework for modeling and optimization of prescient instruction prefetch. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, multithreading, analytical modeling, path expressions, helper threads, instruction prefetch
22Bartosz Balis, Marian Bubak, Wlodzimierz Funika, Roland Wismüller, Grzegorz Kaplita Monitoring Threaded Application with Thread-Enabled OMIS Monitor. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance analysis, monitoring, debugging, Multithreading, parallel tools
22Luke K. McDowell, Susan J. Eggers, Steven D. Gribble Improving server software support for simultaneous multithreaded processors. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF servers, simultaneous multithreading, runtime support
22Wlodzimierz M. Zuberek Approximate Simulation of Distributed-Memory Multithreaded Multiprocessors. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance analysis, discrete-event simulation, timed Petri nets, distributed-memory multiprocessors, block multithreading, approximate models
Displaying result #101 - #200 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license