The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synopsys with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1999 (22) 2000-2002 (16) 2003-2004 (21) 2005-2006 (30) 2007-2008 (25) 2009-2014 (16) 2016-2021 (8)
Publication types (Num. hits)
article(30) incollection(1) inproceedings(107)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 72 occurrences of 65 keywords

Results
Found 138 publication records. Showing 138 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Amir K. Daneshbeh, M. Anwarul Hasan A Unidirectional Bit Serial Systolic Architecture for Double-Basis Division over GF(2m). Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Johannes Grad, James E. Stine A Standard Cell Library for Student Projects. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Deming Chen, Jason Cong, Yiping Fan Low-power high-level synthesis for FPGA architectures. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA power reduction, RT-level power estimation, data path optimization
14Kaijie Wu 0001, Ramesh Karri Register Transfer Level Approach to Hybrid Time and Hardware Redundancy Based Fault Secure Datapath Synthesis. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Monica Donno, Alessandro Ivaldi, Luca Benini, Enrico Macii Clock-tree power optimization based on RTL clock-gating. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clock-tree synthsis, low-power design
14Ramesh Karri, Kaijie Wu 0001 Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14 Formal Verification: Current Use and Future Perspectives. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Giuseppe Notarangelo, Marco Gibilaro, Francesco Pappalardo 0002, Agatino Pennisi, Gaetano Palumbo Low Power Strategy for a TFT Controller. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Hiran Tennakoon, Carl Sechen Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Miodrag Vujkovic, Carl Sechen Optimized power-delay curve generation for standard cell ICs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ASCII
14Eren Kursun, Ankur Srivastava 0001, Seda Ogrenci Memik, Majid Sarrafzadeh Early evaluation techniques for low power binding. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF metric evaluation, scheduling, low power design, resource binding
14Yannick Saouter, Claude Berrou Fast soft-output Viterbi decoding for duo-binary turbo codes. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Gaetano Palumbo, Francesco Pappalardo 0002, S. Sannella Evaluation on power reduction applying gated clock approaches. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Ashok K. Murugavel, N. Ranganathan A Real Delay Switching Activity Simulator Based on Petri Net Modeling. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Tomás Bautista, Antonio Núñez Quantitative study of the impact of design and synthesis options on processor core performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Praveen K. Murthy, Shuvra S. Bhattacharyya Shared buffer implementations of signal processing systems usinglifetime analysis techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Henry Kuo, Ingrid Verbauwhede Architectural Optimization for a 1.82Gbits/sec VLSI Implementation of the AES Rijndael Algorithm. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Praveen K. Murthy, Shuvra S. Bhattacharyya Shared Memory Implementations of Synchronous Dataflow Specifications. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Dinesh Ramanathan, Rajesh K. Gupta 0001, Raymond Roth Interfacing Hardware and Software Using C++ Class Libraries. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14M. Kivioja, Jouni Isoaho, L. Vänskä Design and Implementation of Viterbi Decoder with FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Shuvra S. Bhattacharyya, Praveen K. Murthy, Edward A. Lee Synthesis of Embedded Software from Synchronous Dataflow Specifications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Valentin Puente, José A. Gregorio, Cruz Izu, Ramón Beivide Impact of the Head-of-Line Blocking on Parallel Computer Networks: Hardware to Applications. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Katriina Heikkinen, Petri Vuorimaa Computation of Two Texture Features in Hardware. Search on Bibsonomy ICIAP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Apostolos A. Kountouris, Christophe Wolinski High Level Pre-Synthesis Optimization Steps Using Hierarchical Conditional Dependency Graphs. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Tomás Bautista, Antonio Núñez Design of Efficient SPARC Cores for Embedded Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Alexander Schwarz, Bärbel Mertsching, M. Brucke, Wolfgang Nebel, Jürgen Tchorz, Birger Kollmeier Implementing a Quantitative Model for the "Effective" Signal Processing in the Auditory System on a Dedicated Digital VLSI Hardware. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Srivatsan Srinivasan, Lizy Kurian John On the Use of Pseudorandom Sequences for High Speed Resource Allocators in Superscalar Processors. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware resource allocation, superscalar processor, pseudorandom sequences, reorder buffer
14Hyunman Chang, Myung Hoon Sunwoo A low complexity Reed-Solomon architecture using the Euclid's algorithm. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14C. E. Rabel, Mohamad Sawan PARC: a new pyramidal FPGA architecture based on a RISC processor. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14C.-C. Wang, C. J. Huang, G.-C. Lin A chip design of radix-4/2 64b/32b signed and unsigned integer divider using Compass cell library. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14K. C. Chang 0001, C. A. Lomasney Obsolete integrated circuit replacement methodology using advanced electronic design automation technology. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Nilanjan Mukherjee, Ramesh Karri Versatile BIST: An Integrated Approach to On-line/Off-line BIST for Data-Dominated Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF data-path architectures, response compactor, concurrency, built-in self test, high-level synthesis, on-line test, pattern generator, test function
14E. Lago, Carlos Jesús Jiménez-Fernández, Diego R. López, Santiago Sánchez-Solano, Angel Barriga XFVHDL: A Tool for the Synthesis of Fuzzy Logic Controllers. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Goran Doncev, Miriam Leeser, Shantanu Tarafdar High Level Synthesis for Designing Custom Computing Hardware. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Ramesh Karri, Nilanjan Mukherjee Versatile BIST: an integrated approach to on-line/off-line BIST. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14John F. Croix, D. F. Wong 0001 A Fast And Accurate Technique To Optimize Characterization Tables For Logic Synthesis. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Mariana-Eugenia Petre, Guido Masera A Parametrical Architecture for Reed-Solomon Decoders. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Olav Schettler, Susanne Heymann Towards support for design description languages in EDA framework. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  BibTeX  RDF
Displaying result #101 - #138 of 138 (100 per page; Change: )
Pages: [<<][1][2]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license