The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Srikanth Beerla, Miguel Costa Enabling ECC and Repair Features in an eFuse Box for Memory Repair Applications. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Junchi Ma, Zongtao Duan, Lei Tang 0002 GATPS: An attention-based graph neural network for predicting SDC-causing instructions. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Compact Set of LFSR Seeds for Diagnostic Tests. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nikolaos Ioannis Deligiannis, Riccardo Cantoro, Matthias Sauer 0002, Bernd Becker 0001, Matteo Sonza Reorda New Techniques for the Automatic Identification of Uncontrollable Lines in a CPU Core. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chenlei Fang, Qicheng Huang, R. D. Shawn Blanton Memory-Efficient Adaptive Test Pattern Reordering for Accurate Diagnosis. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Karim Arabi Edge Computing Trends, Design and Test Challenges - Keynote. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  BibTeX  RDF
1Renjian Pan, Xin Li 0001, Krishnendu Chakrabarty Unsupervised Root-Cause Analysis with Transfer Learning for Integrated Systems. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Stephen Sunter, Krzysztof Jurga Automated Observability Analysis for Mixed-Signal Circuits. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anurag Tulsiram, William R. Eisenstadt Design for Testability of Low Dropout Regulators. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kangkang Xu, Yang Yu 0015, Xiyuan Peng TSV Fault Modeling and A BIST Solution for TSV Pre-bond Test. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 39th IEEE VLSI Test Symposium, VTS 2021, San Diego, CA, USA, April 25-28, 2021 Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sujay Pandey, Zhiwei Liao, Shreyas Nandi, Suriyaprakash Natarajan, Arani Sinha, Adit D. Singh, Abhijit Chatterjee Two Pattern Timing Tests Capturing Defect-Induced Multi-Gate Delay Impact of Shorts. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Om Prakash 0007, Chetan K. Dabhi, Yogesh Singh Chauhan, Hussam Amrouch Transistor Self-Heating: The Rising Challenge for Semiconductor Testing. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Victor M. van Santen, Simon Thomann, Yogesh S. Chauchan, Jörg Henkel, Hussam Amrouch Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sohrab Aftabjahani, Ryan Kastner, Mark M. Tehranipoor, Farimah Farahmandi, Jason Oberg, Anders Nordstrom, Nicole Fern, Alric Althoff Special Session: CAD for Hardware Security - Automation is Key to Adoption of Solutions. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sarvesh Patankar, Sainath Karlapalem, Sakshi Biyani, Wen Chen 0016, Roman Chovanec, Martin Vlk, Martin Kaspar Hybrid Methodology for Verification of SW Safety Mechanisms. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Huawei Li 0001, Xiaowei Li 0001, Yu Huang 0005, Ying Wang 0001, Gary Guo Special Session - Test for AI Chips: from DFT to On-line Testing. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cheng-Hao Yang, Chia-Heng Yen, Ting-Rui Wang, Chun-Teng Chen, Mason Chern, Ying-Yen Chen, Jih-Nung Lee, Shu-Yi Kao, Kai-Chiang Wu, Mango Chia-Tso Chao Identifying Good-Dice-in-Bad-Neighborhoods Using Artificial Neural Networks. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 40th IEEE VLSI Test Symposium, VTS 2022, San Diego, CA, USA, April 25-27, 2022 Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ishaan Bassi, Sule Ozev, Doohwang Chang Maintaining NIST-Traceability for MEMS Sensors via In-Field Electrical Recalibration. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Serge Leef Algorithm to Architecture to RTL to GDSII: Incorporating Security into All Phases of SoC Design and Implementation Flow - Keynote. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ning-Chi Huang, Wei-Kai Tseng, Huan-Jan Chou, Kai-Chiang Wu An Energy-Efficient Approximate Systolic Array Based on Timing Error Prediction and Prevention. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1V. A. Niranjan, Deepika Neethirajan, Constantinos Xanthopoulos, E. De La Rosa, C. Alleyne, S. Mier, Yiorgos Makris Trim Time Reduction in Analog/RF ICs Based on Inter-Trim Correlation. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wei Li, Shih-Yu Yang, Khen Wee, Ricardo Sanchez, Jay Desai, Kun-Han Tsai, Xijiang Lin Timing Critical Path Validation for Intel ATOM Cores Using Structural Test. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abdullah Aljuffri, Cezar Reinbrecht, Said Hamdioui, Mottaqiallah Taouil Multi-Bit Blinding: A Countermeasure for RSA Against Side Channel Attacks. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shamik Kundu, Kanad Basu, Mehdi Sadi, Twisha Titirsha, Shihao Song, Anup Das 0001, Ujjwal Guin Special Session: Reliability Analysis for AI/ML Hardware. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Josie E. Rodriguez Condia, Fernando Fernandes dos Santos, Matteo Sonza Reorda, Paolo Rech Combining Architectural Simulation and Software Fault Injection for a Fast and Accurate CNNs Reliability Evaluation on GPUs. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Siddhanth Dhodhi, Debarshi Chatterjee, Eric Hill, Saad Godil Deep Stalling using a Coverage Driven Genetic Algorithm Framework. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nusrat Farzana, Avinash Ayalasomayajula, Fahim Rahman, Farimah Farahmandi, Mark M. Tehranipoor SAIF: Automated Asset Identification for Security Verification at the Register Transfer Level. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ziqi Zhou, Ujjwal Guin, Peng Li, Vishwani D. Agrawal Defect Characterization and Testing of Skyrmion-Based Logic Circuits. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shervin Roshanisefat, Hadi Mardani Kamali, Kimia Zamiri Azar, Sai Manoj Pudukotai Dinakarrao, Naghmeh Karimi, Houman Homayoun, Avesta Sasan DFSSD: Deep Faults and Shallow State Duality, A Provably Strong Obfuscation Solution for Circuits with Restricted Access to Scan Chain. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Soumya Mittal, R. D. Shawn Blanton A Deterministic-Statistical Multiple-Defect Diagnosis Methodology. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Josie E. Rodriguez Condia, Pierpaolo Narducci, Matteo Sonza Reorda, Luca Sterpone A dynamic reconfiguration mechanism to increase the reliability of GPGPUs. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hadjer Benkraouda, Muhammad Ashif Chakkantakath, Anastasis Keliris, Michail Maniatakos SNIFU: Secure Network Interception for Firmware Updates in legacy PLCs. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chris Nigh, Alex Orailoglu Taming Combinational Trojan Detection Challenges with Self-Referencing Adaptive Test Patterns. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Marc Hutner, R. Sethuram, Bapi Vinnakota, Dave Armstrong, A. Copperhall Special Session: Test Challenges in a Chiplet Marketplace. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Input Test Data Volume Reduction Using Seed Complementation and Multiple LFSRs. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Felipe Augusto da Silva, Ahmet Cagri Bagbaba, Annachiara Ruospo, Riccardo Mariani, Ghani Kanawati, Ernesto Sánchez 0001, Matteo Sonza Reorda, Maksim Jenihhin, Said Hamdioui, Christian Sauer 0001 Special Session: AutoSoC - A Suite of Open-Source Automotive SoC Benchmarks. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Suriyaprakash Natarajan, Andres F. Malavasi, Pascal Andreas Meinerzhagen Automated Design For Yield Through Defect Tolerance. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Riccardo Cantoro, Sandro Sartoni, Matteo Sonza Reorda In-field Functional Test of CAN Bus Controllers. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tong-Yu Hsieh, Pin-Xuan Wu, Chun-Chao Cheng On Classification of Acceptable Images for Reliable Artificial Intelligence Systems: A Case Study on Pedestrian Detection. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dyi-Chung Hu, Hirohito Hashimoto, Li-Fong Tseng, Ken Chau-Cheung Cheng, Katherine Shu-Min Li, Sying-Jyan Wang, Sean Y.-S. Chen, Jwu E. Chen, Clark Liu, Andrew Yi-Ann Huang Innovative Practice on Wafer Test Innovations. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rajendra Bishnoi, Lizhou Wu, Moritz Fieback, Christopher Münch, Sarath Mohanachandran Nair, Mehdi Baradaran Tahoori, Ying Wang 0001, Huawei Li 0001, Said Hamdioui Special Session - Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yang Sun, Spencer K. Millican, Vishwani D. Agrawal Special Session: Survey of Test Point Insertion for Logic Built-in Self-test. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori Mitigating Read Failures in STT-MRAM. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yu Huang 0005, Janusz Rajski, Mark Kassab, Nilanjan Mukherjee 0001, Jeffrey Mayer Effective Design of Layout-Friendly EDT Decompressor. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yigit Tuncel, Ganapati Bhat, Ümit Y. Ogras Special Session: Physically Flexible Devices for Health and Activity Monitoring: Challenges from Design to Test. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jhon Gomez, Nektar Xama, Anthony Coyette, Ronny Vanhooren, Wim Dobbelaere, Georges G. E. Gielen Pinhole Latent Defect Modeling and Simulation for Defect-Oriented Analog/Mixed-Signal Testing. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Qidong Wang, Aijiao Cui, Gang Qu 0001, Huawei Li 0001 A New Secure Scan Design with PUF-based Key for Authentication. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1 38th IEEE VLSI Test Symposium, VTS 2020, San Diego, CA, USA, April 5-8, 2020 Search on Bibsonomy VTS The full citation details ... 2020 DBLP  BibTeX  RDF
1Maria I. Mera Collantes, Zahra Ghodsi, Siddharth Garg SafeTPU: A Verifiably Secure Hardware Accelerator for Deep Neural Networks. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dong Xiang, Jiaming Cai, Bo Liu Low-Power Weighted Pseudo-Random Test Pattern Generation for Launch-on-Capture Delay Testing. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Somayeh Sadeghi Kohan, Sybille Hellebrand Dynamic Multi-Frequency Test Method for Hidden Interconnect Defects. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chun-Teng Chen, Chia-Heng Yen, Cheng-Yen Wen, Cheng-Hao Yang, Kai-Chiang Wu, Mason Chern, Ying-Yen Chen, Chun-Yi Kuo, Jih-Nung Lee, Shu-Yi Kao, Mango Chia-Tso Chao CNN-based Stochastic Regression for IDDQ Outlier Identification. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Non-Masking Non-Robust Tests for Path Delay Faults. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Praise O. Farayola, Shravan K. Chaganti, Abdullah O. Obaidi, Abalhassan Sheikh, Srivaths Ravi 0001, Degang Chen 0001 Quantile - Quantile Fitting Approach to Detect Site to Site Variations in Massive Multi-site Testing. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mustafa Munawar Shihab, Bharath Ramanidharan, Suraag Sunil Tellakula, Gaurav Rajavendra Reddy, Jingxiang Tian, Carl Sechen, Yiorgos Makris ATTEST: Application-Agnostic Testing of a Novel Transistor-Level Programmable Fabric. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xuan Zuo, Sandeep K. Gupta 0001 Aging-resilient SRAM design: an end-to-end framework. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sreejit Chakravarty, Fei Su, Indira A. Gohad, Sudheer V. Bandana, B. S. Adithya, Wei Ming Lim Internal I/O Testing: Definition and a Solution. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abhishek Das, Nur A. Touba Selective Checksum based On-line Error Correction for RRAM based Matrix Operations. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Takeshi Iwasaki, Masao Aso, Haruji Futami, Satoshi Matsunaga, Yousuke Miyake, Takaaki Kato, Seiji Kajihara, Yukiya Miura, Smith Lai, Gavin Hung, Harry H. Chen, Haruo Kobayashi 0001, Kazumi Hatayama Innovative Test Practices in Asia. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Koji Asami, Keisuke Kusunoki, Nobuhiro Shimizu, Yoshiyuki Aoki Ultra-Wideband Modulation Signal Measurement Using Local Sweep Digitizing Method. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Eric Schneider, Hans-Joachim Wunderlich Switch Level Time Simulation of CMOS Circuits with Adaptive Voltage and Frequency Scaling. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zizhen Liu, Jing Ye 0001, Xing Hu 0001, Huawei Li 0001, Xiaowei Li 0001, Yu Hu 0001 Sequence Triggered Hardware Trojan in Neural Network Accelerator. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jiafeng Xie, Kanad Basu, Kris Gaj, Ujjwal Guin Special Session: The Recent Advance in Hardware Implementation of Post-Quantum Cryptography. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Churan Tang, Pengkun Liu, Cunqing Ma, Zongbin Liu, Jingquan Ge Flush+Time: A High Accuracy and High Resolution Cache Attack On ARM-FPGA Embedded SoC. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jui-Hung Hung, Shih-Hsu Huang, Chun-Hua Cheng, Hsu-Yu Kao, Wei-Kai Cheng Co-Optimization of Grid-Based TAM Wire Routing and Test Scheduling with Reconfigurable Wrappers. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wendong Wang, Ujjwal Guin, Adit D. Singh A Zero-Cost Detection Approach for Recycled ICs using Scan Architecture. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xingyi Wang, Li Jiang 0002, Krishnendu Chakrabarty LSTM-based Analysis of Temporally- and Spatially-Correlated Signatures for Intermittent Fault Detection. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Adam Duncan, Adib Nahiyan, Fahim Rahman, Grant Skipper, Martin Swany, Andrew Lukefahr, Farimah Farahmandi, Mark M. Tehranipoor SeRFI: Secure Remote FPGA Initialization in an Untrusted Environment. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Brett Sparkman, Scott C. Smith, Jia Di Built-In Self-Test for Multi-Threshold NULL Convention Logic Asynchronous Circuits. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhen Gao 0001, Lingling Zhang, Ruishi Han, Pedro Reviriego, Zhiqiang Li Reliability Evaluation of Turbo Decoders Implemented on SRAM-FPGAs. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Katayoon Basharkhah, Rezgar Sadeghi, Nooshin Nosrati, Zainalabedin Navabi ESL, Back-annotating Crosstalk Fault Models into High-level Communication Links. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ayush Jain 0002, Ujjwal Guin, M. Tanjidur Rahman, Navid Asadizanjani, Danielle Duvalsaint, R. D. Shawn Blanton Special Session: Novel Attacks on Logic-Locking. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hassan Salmani, Tamzidul Hoque, Swarup Bhunia, Muhammad Yasin, Jeyavijayan (JV) Rajendran, Naghmeh Karimi Special Session: Countering IP Security threats in Supply chain. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mengyun Liu, Fangming Ye, Xin Li 0001, Krishnendu Chakrabarty, Xinli Gu Board-Level Functional Fault Identification using Streaming Data. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Test Compaction Under Bounded Transparent-Scan. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wim Dobbelaere, Marco Restifo, Peter Sarson Innovative Practices on Automotive Test. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cheng Ban, Minshun Wu, Jiangtao Xu, Li Geng, Degang Chen 0001 An Accurate and Efficient Method for Eliminating the Requirement of Coherent Sampling in Multi-Tone Test. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ghada Sokar, Yassin Zakaria, Asmaa Rabie, Kareem Madkour, Ira Leventhal, Jochen Rivoir, Xinli Gu, Haralampos-G. D. Stratigopoulos IP Session on Machine Learning Applications in IC Test-Related Tasks. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ahmed Wahba, Li-C. Wang, Zheng Zhang 0005, Nik Sumikawa Wafer Pattern Recognition Using Tucker Decomposition. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 37th IEEE VLSI Test Symposium, VTS 2019, Monterey, CA, USA, April 23-25, 2019 Search on Bibsonomy VTS The full citation details ... 2019 DBLP  BibTeX  RDF
1Sourav Das, Fei Su, Sreejit Chakravarty A Comparative Study of Pre-bond TSV Test Methodologies. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alfred L. Crouch, Peter L. Levin, Jennifer Dworak, Lakshmi Ramakrishnan, Yuhe Xia, Chi Zhang, Daniel Engels, Gary Evans, Ping Gui, Scott McWilliams, Saurabh Gupta 0005, Franco Stellari, Naigang Wang, Peilin Song Innovate Practices on CyberSecurity of Hardware Semiconductor Devices. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Georgios Volanis, Yichuan Lu, Sai Govinda Rao Nimmalapudi, Angelos Antonopoulos 0002, Andrew Marshall, Yiorgos Makris Analog Performance Locking through Neural Network-Based Biasing. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ahmed M. Y. Ibrahim, Hans G. Kerkhoff, Abrar Ibrahim, Mona Safar, M. Watheq El-Kharashi Efficient Structured Scan Patterns Retargeting for Hierarchical IEEE 1687 Networks. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Vivek Chickermane, Srikanth Venkataraman Observation Point Placement for Improved Logic Diagnosis based on Large Sets of Candidate Faults. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Amit Jakati, Manish Sharma, Joy Liao Innovative Practices on Software and Hardware based Silicon Debug/Fault Isolation. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yusuke Asada, Takahiko Shimizu, Yuji Gendai, Keno Sato, Takashi Ishida 0003, Toshiyuki Okamoto, Tamotsu Ichikawa, Jiang-Lin Wei, Nene Kushita, Hirotaka Arai, Anna Kuwana, Takayuki Nakatani, Kazumi Hatayama, Haruo Kobayashi 0001 Innovative Test Practices in Japan. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Deepika Neethirajan, Constantinos Xanthopoulos, Kiruba S. Subramani, Keith Schaub, Ira Leventhal, Yiorgos Makris Machine Learning-based Noise Classification and Decomposition in RF Transceivers. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Milind Sonawane, Venkat Abilash Reddy Nerallapally, Alex Hsu, Shantanu Sarangi Hybrid Performance Modeling for Optimization of In-System-Structural-Test (ISST) Latency. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Elena Ioana Vatajelu, Giorgio Di Natale, Lorena Anghel Special Session: Reliability of Hardware-Implemented Spiking Neural Networks (SNN). Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Thomas Moon, Junfeng Guan, Haitham Hassanieh Online Millimeter Wave Phased Array Calibration Based on Channel Estimation. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhanwei Zhong, Tom B. Wrigglesworth, Eugene M. Chow, Krishnendu Chakrabarty Test-Cost Reduction for 2.5D ICs Using Microspring Technology for Die Attachment and Rework. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Renjian Pan, Zhaobo Zhang, Xin Li 0001, Krishnendu Chakrabarty, Xinli Gu Black-Box Test-Coverage Analysis and Test-Cost Reduction Based on a Bayesian Network Model. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Miao Tony He, Jungmin Park, Adib Nahiyan, Apostol Vassilev 0001, Yier Jin, Mark M. Tehranipoor RTL-PSC: Automated Power Side-Channel Leakage Assessment at Register-Transfer Level. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hang Gao, Ganapati Bhat, Ümit Y. Ogras, Sule Ozev Optimized Stress Testing for Flexible Hybrid Electronics Designs. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tse-Wei Wu, Dong-Zhen Lee, Yu-Hao Huang, Mango C.-T. Chao, Kai-Chiang Wu, Shu-Yi Kao, Ying-Yen Chen, Po-Lin Chen, Mason Chern, Jih-Nung Lee Layout-Based Dual-Cell-Aware Tests. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fangzhou Wang, Sandeep Gupta 0001 Automatic Test Pattern Generation for timing verification and delay testing of RSFQ circuits. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peikun Wang, Amir Masoud Gharehbaghi, Masahiro Fujita An Incremental Automatic Test Pattern Generation Method for Multiple Stuck-at Faults. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2033 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license