The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for configurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1986 (15) 1987-1990 (18) 1991-1992 (42) 1993 (21) 1994 (47) 1995 (25) 1996 (54) 1997 (50) 1998 (107) 1999 (97) 2000 (132) 2001 (120) 2002 (145) 2003 (203) 2004 (249) 2005 (286) 2006 (255) 2007 (252) 2008 (270) 2009 (198) 2010 (126) 2011 (116) 2012 (122) 2013 (142) 2014 (135) 2015 (145) 2016 (128) 2017 (157) 2018 (171) 2019 (176) 2020 (173) 2021 (187) 2022 (198) 2023 (212) 2024 (38)
Publication types (Num. hits)
article(1270) book(2) data(2) incollection(14) inproceedings(3444) phdthesis(75) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2225 occurrences of 1302 keywords

Results
Found 4812 publication records. Showing 4812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Hector Borrayo-Sandoval, Ramón Parra-Michel, Luis F. Gonzalez-Perez, Fernando Landeros Printzen, Claudia Feregrino Uribe Design and Implementation of a Configurable Interleaver/Deinterleaver for Turbo Codes in 3GPP Standard. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable, interleaver, Turbo codes
26Quang Dinh, Deming Chen, Martin D. F. Wong Efficient ASIP design for configurable processors with fine-grained resource sharing. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-cycle IO, compilation, ASIP, resource sharing, configurable processor
26Joachim Sachs, Stephan Baucke Virtual radio: a framework for configurable radio networks. Search on Bibsonomy WICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF configurable radio networks, future internet, network virtualization
26Subash Chandar G., Mahesh Mehendale, R. Govindarajan Area and Power Reduction of Embedded DSP Systems using Instruction Compression and Re-configurable Encoding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded DSP systems, re-configurable architecture, code compression, energy reduction
26Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt A first look at the interplay of code reordering and configurable caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache exploration, code reorganization, low power, low energy, cache optimization, architecture tuning, cache hierarchy, configurable cache, code layout, code reordering
26Chuanjun Zhang, Frank Vahid, Walid A. Najjar A Highly-Configurable Cache Architecture for Embedded Systems. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, low energy, architecture tuning
26Eduardo Sanchez, Moshe Sipper, Jacques-Olivier Haenni, Jean-Luc Beuchat, André Stauffer, Andrés Pérez-Uribe Static and Dynamic Configurable Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF static configurability, FPGAs, Configurable computing, dynamic configurability
26Douglas C. Schmidt A family of design patterns for flexibly configuring network services in distributed systems. Search on Bibsonomy CDS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Configurable Distributed Systems, Object-oriented Communication Frameworks, Design Patterns
26Roland Mandler A configurable adjunct for real time systems (CARTS). Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF utility programs, configurable adjunct for real time systems, rapid application code generation, generic user interfaces, processor restarts, incremental system integration, incremental system reconfiguration, file-based data, tailored functionality, CARTS postal system, optimal transfer methods, backplane I/O, network I/O, CARTS clock services, high resolution time of day clocks, high resolution mission clock, system wide clock synchronisation, real-time systems, user interfaces, architectures, shared memory, shared memory systems, application program interfaces, clocks, network operating systems, operating system kernels, CARTS, real time distributed systems, input-output programs, system services, intertask communication
26Woei Lin, Chuan-lin Wu A Fault-Tolerant Mapping Scheme for a Configurable Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fault-tolerant mapping scheme, configurable multiprocessor system, interprocessor connections, linear address space, parallel computation, fault tolerant computing, multiprocessor interconnection networks, configurability, multistage interconnection networks
24Elnatan Reisner, Charles Song, Kin-Keung Ma, Jeffrey S. Foster, Adam A. Porter Using symbolic evaluation to understand behavior in configurable software systems. Search on Bibsonomy ICSE (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF software testing and analysis, empirical software engineering, software configurations
24Florian Gottschalk, Teun A. C. Wagemakers, Monique H. Jansen-Vullers, Wil M. P. van der Aalst, Marcello La Rosa Configurable Process Models: Experiences from a Municipality Case Study. Search on Bibsonomy CAiSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Registration Process, Business Process Models, Configuration, Case Study, Questionnaires, YAWL
24Toomas P. Plaks Guest Editorial Special Section on Configurable Computing Design-II: Hardware Level Reconfiguration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Toomas P. Plaks Guest Editorial Special Section on Configurable Computing Design- I: High-Level Reconfiguration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Myra B. Cohen, Matthew B. Dwyer, Jiangfan Shi Constructing Interaction Test Suites for Highly-Configurable Systems in the Presence of Constraints: A Greedy Approach. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Love Singhal, Sejong Oh, Eli Bozorgzadeh Yield maximization for system-level task assignment and configuration selection of configurable multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF configuration selection, delay budgeting, process variation, task allocation, within-die variation, timing yield
24Wael Sharmak, Sven-Eric Schapke, Raimar J. Scherer Risk Treatment Templates for Configurable Reference Modeling in the Construction Industry. Search on Bibsonomy Virtual Enterprises and Collaborative Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Oliver A. Pfänder, Reinhard Nopper, Hans-Jörg Pfleiderer, Shun Zhou, Amine Bermak Configurable Blocks for Multi-precision Multiplication. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable multipliers, embedded blocks, multi-precision, FPGA, multiplication
24Ralph Mietzner, Frank Leymann, Mike P. Papazoglou Defining Composite Configurable SaaS Application Packages Using SCA, Variability Descriptors and Multi-tenancy Patterns. Search on Bibsonomy ICIW The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-Tenancy Patterns, Application Templates, SaaS, SCA
24Anupam Chattopadhyay, W. Ahmed, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Design space exploration of partially re-configurable embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Steffen Lamparter, Anupriya Ankolekar, Rudi Studer, Stephan Grimm Preference-based selection of highly configurable web services. Search on Bibsonomy WWW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF preference-based service selection, web services, customisation
24David Goodwin, Chris Rowen, Grant Martin Configurable Multi-Processor Platforms for Next Generation Embedded Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Tao Li 0008, Xiaoming Zhang, Zhigang Sun DynaNP - A Coarse-grain Dataflow Network Processor Architecture with Dynamic Configurable Processing Path. Search on Bibsonomy SNPD (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Mario Pukall, Thomas Leich, Martin Kuhlemann, Marko Rosenmüller Highly configurable transaction management for embedded systems. Search on Bibsonomy ACP4IS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jason Cong, Guoling Han, Zhiru Zhang Architecture and Compiler Optimizations for Data Bandwidth Improvement in Configurable Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John Effective management of multiple configurable units using dynamic optimization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Adaptive computing environment (ACE), dynamic optimization, power dissipation, hotspots
24Jérôme Lemaitre, Sylvain Alliot, Ed F. Deprettere Requirements for Interfacing IP-Components in Re-configurable Platforms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IP-component, interfacing, wrapping, re-use
24Mário P. Véstias, Horácio C. Neto Co-synthesis of a configurable SoC platform based on a network on chip architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Tsutomu Nishimura, Takuji Miki, Hiroaki Sugiura 0003, Yuki Matsumoto, Masatsugu Kobayashi, Toshiyuki Kato, Tsutomu Eda, Hironori Yamauchi Configurable multi-processor architecture and its processor element design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Ingmar Fliege, Rüdiger Grammes, Christian Weber ConTraST - A Configurable SDL Transpiler and Runtime Environment. Search on Bibsonomy SAM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Declan Hegarty, Steve McDonald An FPGA-based Configurable Network Interface System. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Javier López 0001, Antonio Maña, Antonio Muñoz 0001 A Secure and Auto-configurable Environment for Mobile Agents in Ubiquitous Computing Scenarios. Search on Bibsonomy UIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Security, Ubiquitous computing, Agents, Profiles, Trusted Computing
24Gorn Tepvorachai, Christos A. Papachristou Self-Configurable Neural Network Processor for FIR Filter Applications. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jeffrey M. Arnold Software Configurable Processors. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Byung-Joo Hong, Koon-Shik Cho, Seung-Hyun Kang, Suk-Yoon Lee, Jun Dong Cho On the Configurable Multiprocessor SoC Platform with Crossbar Switch. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Monther Aldwairi, Thomas M. Conte, Paul D. Franzon Configurable string matching hardware for speeding up intrusion detection. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF snort accelerator, string matchin, intrusion detection
24Meng-Fan Chang, Kuei-Ann Wen Power and Substrate Noise Tolerance of Configurable Embedded Memories in SoC. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF supply noise, SRAM, substrate noise, ROM
24Jacqueline E. Rice, Kenneth B. Kent, Troy Ronda, Zhao Yong Configurable hardware solutions for computing autocorrelation coefficients: a case study (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Vladimir M. Ciric, Ivan Z. Milentijevic Coefficient Bit Reordering Method for Configurable FIR Filtering on Folded Bit-plane Array. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Pedro C. Diniz Evaluation of Code Generation Strategies for Scalar Replaced Codes in Fine-Grain Configurable Architectures. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Xinran (Ryan) Wu, Andrew A. Chien, Matti A. Hiltunen, Richard D. Schlichting, S. Sen A high performance configurable transport protocol for grid computing. Search on Bibsonomy CCGRID The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Heidi E. Ziegler, Priyadarshini L. Malusare, Pedro C. Diniz Array Replication to Increase Parallelism in Applications Mapped to Configurable Architectures. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Holly Pekau, Lee Hartley, James W. Haslett A re-configurable high-speed CMOS track and latch comparator with rail-to-rail input for IF digitization [software radio receiver applications]. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Rong-Jian Chen, Yi-Te Lai, Jui-Lin Lai Architecture design of the re-configurable 2-D von Neumann cellular automata for image encryption application. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Jean-Charles Régin AC-*: A Configurable, Generic and Adaptive Arc Consistency Algorithm. Search on Bibsonomy CP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Jérôme Lemaitre, Sylvain Alliot, Ed F. Deprettere On the (Re-)Use of IP-Components in Re-configurable Platforms. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Jingyu He, Andy C. Downton Configurable Text Stamp Identification Tool with Application of Fuzzy Logic. Search on Bibsonomy Document Analysis Systems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24D. Quinn, Bruno Lavigueur, Guy Bois, El Mostapha Aboulhamid A System Level Exploration Platform and Methodology for Network Applications Based on Configurable Processors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Christian Wiegand, Christian Siemers, Harald Richter 0001 Definition of a Configurable Architecture for Implementation of Global Cellular Automaton. Search on Bibsonomy ARCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Jeffery P. Hansen, Sourav Ghosh, Ragunathan Rajkumar, John P. Lehoczky Resource Management of Highly Configurable Tasks. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Swapnil Bahl A Novel Method for Silicon Configurable Test Flow and Algorithms for Testing, Debugging and Characterizing Different Types of Embedded Memories through a Shared Controller. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Sebastian Wallner A Configurable System-on-Chip Architecture for Embedded Devices. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Yajun Ran, Malgorzata Marek-Sadowska An integrated design flow for a via-configurable gate array. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Meng-Fan Chang, Kuei-Ann Wen, Ding-Ming Kwai Supply and Substrate Noise Tolerance Using Dynamic Tracking Clusters in Configurable Memory Designs. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Jürgen Becker 0001, Martin Vorbach Architecture, Memory and Interface Technology Integration of an Industrial/Academic Configurable System-on-Chip (CSoC). Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Binlin Guo, Jiarong Tong A SC-based novel configurable analog cell. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24César Torres-Huitzil, Miguel O. Arias-Estrada Configurable Hardware Architecture for Real-Time Window-Based Image Processing. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Angelo Brayner, José de Aguiar Moraes Filho Sharing Mobile Databases in Dynamically Configurable Environments. Search on Bibsonomy CAiSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Chi-Fang Li, Wern-Ho Sheen, Fu-Chang Chuang, Yuan-Sun Chu Configurable preamble synchronizer for slotted random access in W-CDMA applications. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Jin-Gyeong Kim, C.-C. Jay Kuo MPEG-4 video codec IP design with a configurable embedded processor. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Narasimhan Ramasubramanian, Ram Subramanian, Santosh Pande Automatic Compilation of Loops to Exploit Operator Parallelism on Configurable Arithmetic Logic Units. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF operator parallelism, FPGAs, parallel computing, Compilers, loop transformation, reconfigurable systems
24Greg Stitt, Frank Vahid Energy Advantages of Microprocessor Platforms with On-Chip Configurable Logic. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Oleg Maslennikov, Juri Shevtshenko, Anatoli Sergyienko Configurable Microcontroller Array. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Atsushi Mizuno, Kazuyoshi Kohno, Ryuichiro Ohyama, Takahiro Tokuyoshi, Hironori Uetani, Hans Eichel, Takashi Miyamori, Nobu Matsumoto, Masataka Matsui Design Methodology and System for a Configurable Media Embedded Processor Extensible to VLIW Architecture. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Minsu Choi, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi Hardware/Software Co-Reliability of Configurable Digital Systems. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Greg Snider, Barry Shackleford, Richard J. Carter Attacking the semantic gap between application programming languages and configurable hardware. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Chris Fisher, Kevin Rennie, Guanbin Xing, Stefan G. Berg, Kevin Bolding, John H. Naegle, Daniel Parshall, Dmitriy Portnov, Adnan Sulejmanpasic, Carl Ebeling An Emulator for Exploring RaPiD Configurable Computing Architectures. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Panagiotis Stogiannos, Apostolos Dollas, Vassilios Digalakis A Configurable Logic Based Architecture for Real-Time Continuous Speech Recognition Using Hidden Markov Models. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Xianfeng Zhou, Margaret Martonosi Augmenting Modern Superscalar Architectures with Configurable Extended Instructions. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Fabio Casati, Ski Ilnicki, Li-jie Jin, Ming-Chien Shan An Open, Flexible, and Configurable System for Service Composition. Search on Bibsonomy WECWIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF workflows, service composition, events, e-services, adaptive processes
24C. K. Chung, Philip Heng Wai Leong An Architecture for Solving Boolean Satisfiability Using Runtime Configurable Hardware. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Mike Clark, Lizy Kurian John Performance Evaluation of Configurable Hardware Features on the AMD-K5. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF AMD-K5, performance evaluation, benchmarking, performance measurement, hardware reconfigurability
24Wenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi On the Complexity of Sequential Testing in Configurable FPGAs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGA, pipeline, PLD, sequential testing, iterative array
24Carl Ebeling, Darren C. Cronquist, Paul Franklin, Jason Secosky, Stefan G. Berg Mapping applications to the RaPiD configurable architecture. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24S. Ramanathan, V. Visvanathan Low-Power Configurable Processor Array for DLMS Adaptive Filtering. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Binh Vien Dao, José Duato, Sudhakar Yalamanchili Configurable Flow Control Mechanisms for Fault-Tolerant Routing. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Christophe Hüseyin Kizil Conception d'une architecture numérique configurable pour la transformée en paquets d'ondelettes discrètes: application aux transmissions numériques ultra-large bande impulsionnelles. (Design of a configurable digital architecture for discrete wavelet packet transform: application to impulse ultra-wideband digital transmissions). Search on Bibsonomy 2019   RDF
22Wehbi Benallal, Mahmoud Barhamgi, Djamal Benslimane, Zakaria Maamar Configurable Structure Tree as a Means to Manage Configurable Business Processes. Search on Bibsonomy WETICE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Guillaume Dugué Modélisation d'une architecture orientée service et basée composant pour une couche de Transport autonome, dynamique et hautement configurable. (Modeling a Service -oriented and component -based architecture for Transport Layer autonomous, dynamic and highly configurable). Search on Bibsonomy 2014   RDF
22Fakhar Anjam, Stephan Wong Configurable Fault-Tolerance for a Configurable VLIW Processor. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Zhidong Mao, Liguang Chen, Yuan Wang, Jinmei Lai A new configurable logic block with 4/5-input configurable LUT and fast/slow-path carry chain. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Chi Dung Tran Vers un environnement générique et configurable pour l'aide à l'évaluation des systèmes interactifs à base d'agents, Application à un Système d'Aide à l'Information voyageur dans le domaine des transports commun (bus, Tram). (Towards a generic and configurable environment to support the evaluation of the agent-based interactive systems with agents, Application to a IAS (Information Assistance System) for passengers in the field of common transport (bus, tram)). Search on Bibsonomy 2009   RDF
22Petri Kukkala, Marko Hännikäinen, Timo D. Hämäläinen Configurable Protocol Engine for Runtime-Configurable Communication Subsystems on Multiprocessor SoC. Search on Bibsonomy PIMRC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Christian Mannino Architecture configurable d'une boucle à verrouillage de phase totalement numérique : application à la mesure de gigue et de la dérive en fréquence en télévision numérique. (Configurable architecture of an All-Digital Phase Locked Loop : application to the measure of jitter and frequency drift in digital television). Search on Bibsonomy 2006   RDF
22Seong-Yong Ahn, Yo-Seop Hwang, Jae-Hong Shim, Jeong-A Lee Producer and Consumer: Roles of a Microprocessor and a Configurable Logic in a Configurable SoC. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Mark C. Little, Stuart M. Wheater Building configurable applications in Java. Search on Bibsonomy CDS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Dilma Da Silva, Karsten Schwan, Greg Eisenhauer Configurable distributed retrieval of scientific data. Search on Bibsonomy CDS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Alistair C. Veitch, Norman C. Hutchinson Kea-a dynamically extensible and configurable operating system kernel. Search on Bibsonomy CDS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF operating system, reconfigurable, reconfiguration, dynamic, flexiblity, extensible, kernel
22Stuart M. Wheater, Mark C. Little The design and implementation of a framework for configurable software. Search on Bibsonomy CDS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF object-oriented, modularity, configurability, extensibility
22Masoud Mansouri-Samani, Morris Sloman A configurable event service for distributed systems. Search on Bibsonomy CDS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Christos T. Karamanolis, Jeff N. Magee A replication protocol to support dynamically configurable groups of servers. Search on Bibsonomy CDS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Replication Management, Replication, Availability, Configuration Management, Distributed Services
22Ahmed Gheith, Bodhisattwa Mukherjee, Dilma Da Silva, Karsten Schwan KTK: kernel support for configurable objects and invocations. Search on Bibsonomy CDS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Adam Beguelin, Bernd Bruegge A configurable monitoring system for parallel programming. Search on Bibsonomy CDS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Ian Michael Guffick, Gordon S. Blair Building configurable distributed systems using the Kitara object-oriented language. Search on Bibsonomy CDS The full citation details ... 1992 DBLP  BibTeX  RDF
22Orly Kremien, Jeff Kramer Flexible load-sharing in configurable distributed systems. Search on Bibsonomy CDS The full citation details ... 1992 DBLP  BibTeX  RDF
22Victor Mak Connection: an inter-component communication paradigm for configurable distributed systems. Search on Bibsonomy CDS The full citation details ... 1992 DBLP  BibTeX  RDF
22Hugo R. Simpson Real time networks in configurable distributed systems. Search on Bibsonomy CDS The full citation details ... 1992 DBLP  BibTeX  RDF
21Lech Józwiak, Alexander Douglas Hardware Synthesis for Reconfigurable Heterogeneous Pipelined Accelerators. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF re-configurable computing, heterogeneous pipelined accelerators, hardware synthesis, EDA-tool
21Richard Banach Model Based Refinement and the Tools of Tomorrow. Search on Bibsonomy ABZ The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Configurable Proof Obligations, Verification Grand Challenge, Refinement, Tools, Model Based Development
21Adam A. Porter, Cemal Yilmaz 0001, Atif M. Memon, Douglas C. Schmidt, Balachandran Natarajan Skoll: A Process and Infrastructure for Distributed Continuous Quality Assurance. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF configurable components, testing, distributed testing, Distributed continuous quality assurance
Displaying result #101 - #200 of 4812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license