The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase defect-tolerance (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1997 (18) 1998-2003 (19) 2004-2005 (26) 2006 (20) 2007 (18) 2008 (28) 2009-2010 (19) 2011-2015 (17) 2016-2021 (15) 2022-2023 (2)
Publication types (Num. hits)
article(54) inproceedings(126) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 239 occurrences of 111 keywords

Results
Found 182 publication records. Showing 182 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Jennifer Tang, Da Wang, Yury Polyanskiy, Gregory W. Wornell Defect Tolerance: Fundamental Limits and Examples. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Onur Tunali, Mustafa Altun Logic synthesis and defect tolerance for memristive crossbar arrays. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Jennifer Tang, Da Wang, Yury Polyanskiy, Gregory W. Wornell Defect tolerance: fundamental limits and examples. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
18Stephen Sunter, Alessandro Valerio, Riccardo Miglierina Measuring defect tolerance within mixed-signal ICs. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Jennifer Tang, Da Wang, Yury Polyanskiy, Gregory W. Wornell Defect tolerance: Fundamental limits and examples. Search on Bibsonomy ISIT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Tianjian Li, Li Jiang 0002, Xiaoyao Liang, Qiang Xu 0001, Krishnendu Chakrabarty Defect tolerance for CNFET-based SRAMs. Search on Bibsonomy ITC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Stephen Sunter, Alessandro Valerio, Riccardo Miglierina Automated measurement of defect tolerance in mixed-signal ICs. Search on Bibsonomy ITC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Mariem Slimani, Arwa Ben Dhia, Lirida A. B. Naviner A novel analytical method for defect tolerance assessment. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Onur Tunali, Mustafa Alton Defect tolerance in diode, FET, and four-terminal switch based nano-crossbar arrays. Search on Bibsonomy NANOARCH The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Hsunwei Hsiung, Sandeep K. Gupta 0001 A multi-layered methodology for defect-tolerance of datapath modules in processors. Search on Bibsonomy VTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Adrien Blanchardon, Roselyne Chotin-Avot, Habib Mehrez, Emna Amouri Impact of defect tolerance techniques on the criticality of a SRAM-based mesh of cluster FPGA. Search on Bibsonomy ReConFig The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Adrien Blanchardon, Roselyne Chotin-Avot, Habib Mehrez, Emna Amouri Improve defect tolerance in a cluster of a SRAM-based Mesh of Cluster FPGA using hardware redundancy. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Shuo Wang, Jianwei Dai, Lei Wang 0003 Hybrid Redundancy for Defect Tolerance in Molecular Crossbar Memory. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Hsiu-Chuan Shih, Cheng-Wen Wu An enhanced double-TSV scheme for defect tolerance in 3D-IC. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Jason Cong, Bingjun Xiao Defect tolerance in nanodevice-based programmable interconnects: utilization beyond avoidance. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Bo Yuan 0006, Bin Li 0025 Coverage Optimization for Defect-Tolerance Logic Mapping on Nanoelectronic Crossbar Architectures. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Premkishore Shivakumar, Stephen W. Keckler, Charles R. Moore, Doug Burger Exploiting microarchitectural redundancy for defect tolerance. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba Implementing defect tolerance in 3D-ICs by exploiting degrees of freedom in assembly. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Haejun Seo, Yoonseok Heo, Taewon Cho Three-Dimensional Stacked Memory System for Defect Tolerance. Search on Bibsonomy FGIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Da Cheng, Sandeep Gupta 0001 A novel software-based defect-tolerance approach for application-specific embedded systems. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Glenn H. Chapman, Bonnie L. Gray, Vijay K. Jain Creating Defect Tolerance in Microfluidic Capacitive/Photonic Biosensors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Erik MacLean, Vijay K. Jain A Power Transmission Line Fault Distance Estimation VLSI Chip: Design and Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Vijay K. Jain, Glenn H. Chapman Enhanced Defect Tolerance through Matrixed Deployment of Intelligent Sensors for the Smart Power Grid. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Renan Alves Fonseca, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Nabil Badereddine On using address scrambling to implement defect tolerance in SRAMs. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Jianwei Dai, Lei Wang 0003, Fabrizio Lombardi An information-theoretic analysis of quantum-dot cellular automata for defect tolerance. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Mehdi Baradaran Tahoori Variation and defect tolerance for diode-based nano crossbars. Search on Bibsonomy Nano Commun. Networks The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Jianwei Dai, Lei Wang 0003, Faquir C. Jain Analysis of Defect Tolerance in Molecular Crossbar Electronics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Sanghyun Ahn, Zachary D. Patitz, Noh-Jin Park, Hyoung Joong Kim, Nohpill Park A Floorprint-Based Defect Tolerance for Nano-Scale Application-Specific IC. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Saket Srivastava, Aissa Melouki, Bashir M. Al-Hashimi Defect tolerance in hybrid nano/CMOS architecture using tagging mechanism. Search on Bibsonomy NANOARCH The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Takayuki Mabuchi, Kenji Miyashiro, Minoru Watanabe, Akifumi Ogiwara Defect Tolerance of an Optically Reconfigurable Gate Array with a One-time Writable Volume Holographic Memory. Search on Bibsonomy AHS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Kypros Constantinides Online Low-Cost Defect Tolerance Solutions for Microprocessor Designs. Search on Bibsonomy 2009   RDF
18Asbjørn Djupdal, Pauline C. Haddow Defect Tolerance Inspired by Artificial Evolution. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Weiguo Tang, Lei Wang 0003 A DSP nanosystem with defect tolerance. Search on Bibsonomy NANOARCH The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Michael Crocker, Xiaobo Sharon Hu, Michael T. Niemier Defect tolerance in QCA-based PLAs. Search on Bibsonomy NANOARCH The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Michael T. Niemier, Michael Crocker, Xiaobo Sharon Hu Fabrication Variations and Defect Tolerance for Nanomagnet-Based QCA. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Mo Liu 0005, Craig S. Lent Reliability and Defect Tolerance in Metallic Quantum-dot Cellular Automata. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF single electronics, nanoelectronics, QCA, quantum-dot cellular automata, molecular electronics
18Ahmad A. Al-Yamani, S. Ramsundar, Dhiraj K. Pradhan A Defect Tolerance Scheme for Nanotechnology Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Warren Robinett, Philip Kuekes, R. Stanley Williams Defect Tolerance Based on Coding and Series Replication in Transistor-Logic Demultiplexer Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Snorre Aunet, Hans Kristian Otnes Berge Statistical Simulations for Exploring Defect Tolerance and Power Consumption for 4 Subthreshold 1-Bit Addition Circuits. Search on Bibsonomy IWANN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Luong Dinh Hung, Hidetsugu Irie, Masahiro Goshima, Shuichi Sakai Utilization of SECDED for soft error and variation-induced defect tolerance in caches. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Susmit Biswas, Gang Wang 0015, Tzvetan S. Metodi, Ryan Kastner, Frederic T. Chong Combining static and dynamic defect-tolerance techniques for nanoscale memory systems. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jianwei Dai, Lei Wang 0003, Faquir C. Jain Analysis of defect tolerance in molecular electronics using information-theoretic measures. Search on Bibsonomy NANOARCH The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Krishnendu Chakrabarty Reconfiguration-Based Defect Tolerance for Microfluidic Biochips. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  BibTeX  RDF
18Michael Nicolaidis, Lorena Anghel, Nadir Achouri Memory Defect Tolerance Architectures for Nanotechnologies. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF word repair, nanotechnologies, BISR, memory repair, high defect densities
18Zohair Hyder, John Wawrzynek Defect Tolerance in Multiple-FPGA Systems. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mehdi Baradaran Tahoori A mapping algorithm for defect-tolerance of reconfigurable nano-architectures. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Anthony J. Yu, Guy G. Lemieux FPGA Defect Tolerance: Impact of Granularity. Search on Bibsonomy FPT The full citation details ... 2005 DBLP  BibTeX  RDF
18Mohammad Tehranipoor Defect Tolerance for Molecular Electronics-Based NanoFabrics Using Built-In Self-Test Procedure. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Zhanglei Wang, Krishnendu Chakrabarty Using built-in self-test and adaptive recovery for defect tolerance in molecular electronics-based nanofabrics. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ethan Schuchman, T. N. Vijaykumar Rescue: A Microarchitecture for Testability and Defect Tolerance. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mahim Mishra Scalable Defect Tolerance Beyond the SIA Roadmap. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Premkishore Shivakumar, Stephen W. Keckler, Charles R. Moore, Doug Burger Exploiting Microarchitectural Redundancy For Defect Tolerance. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Nobuhiro Tomabechi, Teruki Ito Defect-tolerance design of the high-speed RSA encryption processor with built-in table for residue calculation of redundant binary numbers. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Israel Koren, Zahava Koren Defect tolerance in VLSI circuits: techniques and yield analysis. Search on Bibsonomy Proc. IEEE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Howard Falk Prolog To Defect Tolerance In Vlsi Circuits: Techniques And Yield Analysis. Search on Bibsonomy Proc. IEEE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Fausto Distante, Mariagiovanna Sami, Renato Stefanelli Harvesting Through Array Partitioning: A Solution to Achieve Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18W. Bruce Culbertson, Rick Amerson, Richard J. Carter, Philip Kuekes, Greg Snider The Teramac Custom Computer: Extending the Limits with Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Adit D. Singh ADTS: an array defect-tolerance scheme for wafer scale gate arrays. Search on Bibsonomy DFT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18David Wessels, Jon C. Muzio Analyzing and improving delay defect tolerance in pipelined combinational circuits. Search on Bibsonomy DFT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Jean-Luc Patry Intégration sur tranche d'une architecture massivement parallèle tolérant les défauts de fin de fabrication. (Wafer scale integration of a massively parallel architecture for defect tolerance). Search on Bibsonomy 1992   RDF
18Fausto Distante, Mariagiovanna Sami, Renato Stefanelli, Giancarlo Storti-Gajan Mapping neural nets onto a massively parallel architecture: a defect-tolerance solution. Search on Bibsonomy Proc. IEEE The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17George R. Roelke, Rusty O. Baldwin, Barry E. Mullins, Yong C. Kim A Cache Architecture for Extremely Unreliable Nanotechnologies. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yadunandana Yellambalase, Minsu Choi, Yong-Bin Kim Inherited Redundancy and Configurability Utilization for Repairing Nanowire Crossbars with Clustered Defects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yuri Khramov The Cost of Code Quality. Search on Bibsonomy AGILE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Nader Mir-Fakhraei ATM switching architectures for wafer-scale integration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Mehdi Baradaran Tahoori, Subhasish Mitra Defect and Fault Tolerance of Reconfigurable Molecular Computing. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Abderrahim Doumar, Hideo Ito Detecting, diagnosing, and tolerating faults in SRAM-based field programmable gate arrays: a survey. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Olivier Temam The rebirth of neural networks. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF neural networks
11Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Necromancer: enhancing system throughput by animating dead cores. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF execution abstraction, heterogeneous core coupling, manufacturing defects
11Mustafa Altun, Marc D. Riedel, Claudia Neuhauser Nanoscale digital computation through percolation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nanoscale digital computation, logic synthesis, percolation
11Grant Martin Panel: Best ways to use billions of devices on a chip. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11M. Haykel Ben Jamaa, David Atienza, Yusuf Leblebici, Giovanni De Micheli Programmable logic circuits based on ambipolar CNFET. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CNFET, FPGA, PLA, carbon nanotube
11Seetharam Narasimhan, Somnath Paul, Swarup Bhunia Collective computing based on swarm intelligence. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF collective intelligence, adaptive computing, multi-processor
11Mehdi Baradaran Tahoori, Subhasish Mitra Application-Dependent Delay Testing of FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Tao Xu 0002, Krishnendu Chakrabarty Parallel Scan-Like Testing and Fault Diagnosis Techniques for Digital Microfluidic Biochips. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Massoud Masoumi, Farshid Raissi, Mahmoud Ahmadian NanoCMOS-Molecular Realization of Rijndael. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLSI realization, CMOL, Rijndael
11Mariam Momenzadeh, Mehdi Baradaran Tahoori, Jing Huang 0001, Fabrizio Lombardi Quantum Cellular Automata: New Defects and Faults for New Devices. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Seth Copen Goldstein, Mihai Budiu NanoFabrics: spatial computing using molecular electronics. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Israel Koren Should Yield be a Design Objective? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF routing, floorplanning, yield, compaction, critical area
11Markus Rudack, Dirk Niggemeyer Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Sy-Yen Kuo, S.-C. Liang Design and analysis of defect tolerant hierarchical sorting networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Jonathon David Mellott, Jermy C. Smith, Fred J. Taylor The Gauss machine: A Galois-enhanced quadratic residue number system systolic array. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #182 of 182 (100 per page; Change: )
Pages: [<<][1][2]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license