The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for electromigration with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (17) 1996-2000 (15) 2001-2002 (26) 2003-2004 (33) 2005-2006 (30) 2007 (20) 2008-2009 (24) 2010-2011 (26) 2012-2013 (26) 2014 (20) 2015 (25) 2016 (18) 2017 (20) 2018 (28) 2019-2020 (27) 2021 (16) 2022 (17) 2023 (20)
Publication types (Num. hits)
article(168) book(1) inproceedings(237) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 100 occurrences of 61 keywords

Results
Found 408 publication records. Showing 408 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Sheldon X.-D. Tan, Zeyu Sun 0001, Sheriff Sadiqbatcha Interconnect Electromigration Modeling and Analysis for Nanometer ICs: From Physics to Full-Chip. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Steve Bigalke, Jens Lienig Avoidance vs. repair: New approaches to increasing electromigration robustness in VLSI routing. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Liang Chen 0025, Sheldon X.-D. Tan, Zeyu Sun 0001, Shaoyi Peng, Min Tang, Junfa Mao Fast Analytic Electromigration Analysis for General Multisegment Interconnect Wires. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Sheriff Sadiqbatcha, Zeyu Sun 0001, Sheldon X.-D. Tan Accelerating Electromigration Aging: Fast Failure Detection for Nanometer ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Sukanta Dey, Sukumar Nandi, Gaurav Trivedi Machine Learning Approach for Fast Electromigration Aware Aging Prediction in Incremental Design of Large Scale On-chip Power Grid Network. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Freddy Gabbay, Avi Mendelson, Yinnon Stav Electromigration-Aware Architecture for Modern Microprocessors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi B. Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Yi Ram Kim, Allison T. Osmanson, Hossein Madanipour, Choong-Un Kim, Patrick F. Thompson, Qiao Chen Effects of UBM Thickness and Current Flow Configuration on Electromigration Failure Mechanisms in Solder Interconnects. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Shaobin Ma, Xiaoyi Wang, Sheldon X.-D. Tan, Liang Chen 0025, Jian He An Adaptive Electromigration Assessment Algorithm for Full-chip Power/Ground Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Adam Issa, Valeriy Sukharev, Farid N. Najm Electromigration Checking Using a Stochastic Effective Current Model. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Mohammadamir Kavousi, Liang Chen 0025, Sheldon X.-D. Tan Electromigration Immortality Check considering Joule Heating Effect for Multisegment Wires. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Makoto Yabuuchi, Masao Morimoto, Yasumasa Tsukamoto, Shinji Tanaka A 7nm Fin-FET 4.04-Mb/mm2 TCAM with Improved Electromigration Reliability Using Far-Side Driving Scheme and Self-Adjust Reference Match-Line Amplifier. Search on Bibsonomy VLSI Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Francis G. Wolff, Daniel J. Weyer, Christos A. Papachristou, Steve Clay Design Space Exploration Driven by Lifetime Concerns due to Electromigration. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Akanksha Jat, Shweta Panwalkar, Shweta Meena Electromigration and Power Analysis of Digital Circuits at 10 nm Technology Node Before Signoff. Search on Bibsonomy ICCCNT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Chase Cook, Sheriff Sadiqbatcha, Zeyu Sun 0001, Sheldon X.-D. Tan Reliability based hardware Trojan design using physics-based electromigration models. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18J. M. Passage, Nabihah Azhari, J. R. Lloyd Stress Migration Followed by Electromigration Reliability Testing. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor Variation-Aware Physics-Based Electromigration Modeling and Experimental Calibration for VLSI Interconnects. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Young-Joon Park, Jungwoo Joh, Jayhoon Chung, Srikanth Krishnan Current Crowding Impact on Electromigration in Al Interconnects. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Shinji Yokogawa, Kyosuke Kunii A Simple Prediction Method for Chip-Level Electromigration Lifetime Using Generalized Gamma Distribution. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Sofie Beyne, Olalla Varela Pedreira, Ingrid De Wolf, Zsolt Tökei, Kristof Croes Low-Frequency Noise Measurements to Characterize Cu-Electromigration Down to 44nm Metal Pitch. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Farid N. Najm, Valeriy Sukharev Efficient Simulation of Electromigration Damage in Large Chip Power Grids Using Accurate Physical Models (Invited Paper). Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Hideaki Tsuchiya, Naohito Suzumura, Ryuji Shibata, Hideki Aono, Makoto Ogasawara, Toshihiko Akiba, Kenji Sakata, Kazuyuki Nakagawa, Takuo Funaya Electromigration Early Failures for Cu Pillar Interconnections with an ENEPIG Pad Finish and its Suppression. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Wei Ye 0008, Mohamed Baker Alawieh, Yibo Lin, David Z. Pan Tackling signal electromigration with learning-based detection and multistage mitigation. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Sachin S. Sapatnekar Electromigration-Aware Interconnect Design. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Zahi Moudallal, Valeriy Sukharev, Farid N. Najm Power Grid Fixing for Electromigration-induced Voltage Failures. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Jing Wang, Yici Cai, Ming Yan, Qiang Zhou 0001 Composite Optimization for Electromigration Reliability and Noise in Power Grid Networks. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Ali Abbasinasab, Malgorzata Marek-Sadowska Non-Uniform Temperature Distribution in Interconnects and Its Impact on Electromigration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Mohammad Torabi, Lihong Zhang Electromigration- and Parasitic-Aware ILP-Based Analog Router. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Shengcheng Wang, Taeyoung Kim 0001, Zeyu Sun 0001, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Chase Cook, Zeyu Sun 0001, Ertugrul Demircan, Mehul D. Shroff, Sheldon X.-D. Tan Fast Electromigration Stress Evolution Analysis for Interconnect Trees Using Krylov Subspace Method. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Hengyang Zhao, Sheldon X.-D. Tan Postvoiding FEM Analysis for Electromigration Failure Characterization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Zeyu Sun 0001, Ertugrul Demircan, Mehul D. Shroff, Chase Cook, Sheldon X.-D. Tan Fast Electromigration Immortality Analysis for Multisegment Copper Interconnect Wires. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Sandeep Chatterjee, Valeriy Sukharev, Farid N. Najm Power Grid Electromigration Checking Using Physics-Based Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Yu Tian, Jing Han, Limin Ma, Fu Guo The dominant effect of c-axis orientation in tin on the electromigration behaviors in tricrystal Sn-3.0Ag-0.5Cu solder joints. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Sukanta Dey, Satyabrata Dash, Sukumar Nandi, Gaurav Trivedi PGIREM: Reliability-Constrained IR Drop Minimization and Electromigration Assessment of VLSI Power Grid Networks Using Cooperative Coevolution. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Steve Bigalke, Jens Lienig FLUTE-EM: Electromigration-Optimized Net Considering Topology Currents and Mechanical Stress. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Chao-Kun Hu, James J. Kelly, Huai Huang, Koichi Motoyama, Hosadurga Shobha, Yuri Ostrovski, James H.-C. Chen, Raghuveer Patlolla, Brown Peethala, Praneet Adusumilli, Terry A. Spooner, Roger Quon, Lynne M. Gignac, Chris M. Breslin, G. Lian, M. Ali, Jacob Benedict, X. S. Lin, S. Smith, Vimal Kamineni, X. Zhang, Frank Wilhelm Mont, Shariq Siddiqui, Frieder H. Baumann Future on-chip interconnect metallization and electromigration. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Seungman Choi, Cathryn Christiansen, Linjun Cao, James Zhang, Ronald Filippi, Tian Shen, Kong Boon Yeap, Sean P. Ogden, Haojun Zhang, Bianzhu Fu, Patrick Justison Effect of metal line width on electromigration of BEOL Cu interconnects. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Baozhen Li, Andrew Kim, Paul McLaughlin, Barry P. Linder, Cathryn Christiansen Electromigration characteristics of power grid like structures. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Christine S. Hau-Riege, Huilin Xu, You-Wen Yau, Manasi S. Kakade, Jianfeng Li, Xiaonan Zhang, Hosain Farr Electromigration of multi-solder ball test structures. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18M. Kraatz, Christoph Sander, André Clausner, M. Hauschildt, Yvonne Standke, Martin Gall, Ehrenfried Zschech Analysis of electromigration-induced backflow stresses in Cu(Mn) interconnects using high statistical sampling. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Sofie Beyne, Shibesh Dutta, Olalla Varela Pedreira, Niels Bosman, Christoph Adelmann, Ingrid De Wolf, Zsolt Tökei, Kristof Croes The first observation of p-type electromigration failure in full ruthenium interconnects. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Jae-Gyung Ahn, Ping-Chin Yeh, Jonathan Chang Electromigration failure rate of redundant via. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Norman Chang, Ajay Baranwal, Hao Zhuang, Ming-Chih Shih, Rahul Rajan, Yaowei Jia, Hui-Lun Liao, Ying-Shiun Li, Ting Ku, Rex Lin Machine learning based generic violation waiver system with application on electromigration sign-off. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Han Zhou 0002, Yijing Sun, Zeyu Sun 0001, Hengyang Zhao, Sheldon X.-D. Tan Electromigration-lifetime constrained power grid optimization considering multi-segment interconnect wires. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Zeyu Sun 0001, Sheriff Sadiqbatcha, Hengyang Zhao, Sheldon X.-D. Tan Accelerating electromigration aging for fast failure detection for nanometer ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Rafael O. Nunes, R. L. de Orio Operational Amplifier Performance Degradation and Time-to-Failure due to Electromigration. Search on Bibsonomy SBCCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Lucas de Paris, Ricardo Reis 0001 An automated methodology to fix electromigration violations on a customized design flow. Search on Bibsonomy LASCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Jens Lienig, Matthias Thiele The Pressing Need for Electromigration-Aware Physical Design. Search on Bibsonomy ISPD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Sheriff Sadiqbatcha, Chase Cook, Zeyu Sun 0001, Sheldon X.-D. Tan Accelerating Electromigration Wear-Out Effects Based on Configurable Sink-Structured Wires. Search on Bibsonomy SMACD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Chase Cook, Sheriff Sadiqbatcha, Zeyu Sun 0001, Sheldon X.-D. Tan Reliability Based Hardware Trojan Design Using Physics-Based Electromigration Models. Search on Bibsonomy SMACD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Hengyang Zhao, Sheldon X.-D. Tan Multi-physics-based FEM analysis for post-voiding analysis of electromigration failure effects. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Steve Bigalke, Jens Lienig, Göran Jerke, Jürgen Scheible, Roland Jancke The need and opportunities of electromigration-aware integrated circuit design. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Mohammad Torabi, Lihong Zhang Electromigration and Parasitic-Aware ILP Router for Analog and RF Circuits. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Xiaotao Jia, Jing Wang, Yici Cai, Qiang Zhou 0001 Electromigration Design Rule aware Global and Detailed Routing Algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Xin Huang 0003, Valeriy Sukharev, Taeyoung Kim 0001, Sheldon X.-D. Tan Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Palkesh Jain, Vivek Mishra, Sachin S. Sapatnekar Fast Stochastic Analysis of Electromigration in Power Distribution Networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Shengcheng Wang, Mehdi Baradaran Tahoori Electromigration-Aware Local-Via Allocation in Power/Ground TSVs of 3-D ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Vivek Mishra, Sachin S. Sapatnekar Probabilistic Wire Resistance Degradation Due to Electromigration in Power Grids. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Junjie Gu, Haipeng Fu, Weicong Na, Qijun Zhang, Jianguo Ma Fast and Automated Electromigration Analysis for CMOS RF PA Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Rafael O. Nunes, R. L. de Orio Study of the impact of electromigration on integrated circuit performance and reliability at design level. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Fengjiang Wang, Dongyang Li, Shuang Tian, Zhijie Zhang, Jiheng Wang, Chao Yan Interfacial behaviors of Sn-Pb, Sn-Ag-Cu Pb-free and mixed Sn-Ag-Cu/Sn-Pb solder joints during electromigration. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Hyeonggeon Lee, Jong Kang Park, Jong Tae Kim A unified system level error model of crosstalk and electromigration for on-chip interconnect. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Ming Yan, Yici Cai, Chenguang Wang 0003, Qiang Zhou 0001 An Effective Power Grid Optimization Approach for the Electromigration Reliability. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Matthias Thiele, Steve Bigalke, Jens Lienig Exploring the use of the finite element method for electromigration analysis in future physical design. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Matthias Thiele, Steve Bigalke, Jens Lienig Electromigration Analysis of VLSI Circuits Using the Finite Element Method. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Xiaoyi Wang, Hongyu Wang, Jian He, Sheldon X.-D. Tan, Yici Cai, Shengqi Yang Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Shengcheng Wang, Hengyang Zhao, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori Recovery-aware proactive TSV repair for electromigration in 3D ICs. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Xiaoyi Wang, Yan Yan, Jian He, Sheldon X.-D. Tan, Chase Cook, Shengqi Yang Fast physics-based electromigration analysis for multi-branch interconnect trees. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Shengcheng Wang, Zeyu Sun 0001, Yuan Cheng, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori Leveraging recovery effect to reduce electromigration degradation in power/ground TSV. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Sandeep Chatterjee, Valeriy Sukharev, Farid N. Najm Fast physics-based electromigration assessment by efficient solution of linear time-invariant (LTI) systems. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Wei Ye 0008, Yibo Lin, Xiaoqing Xu, Wuxi Li, Yiwei Fu, Yongsheng Sun, Canhui Zhan, David Z. Pan Placement mitigation techniques for power grid electromigration. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Vivek Mishra, Palkesh Jain, Sravan K. Marella, Sachin S. Sapatnekar Incorporating the Role of Stress on Electromigration in Power Grids with Via Arrays. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Taeyoung Kim 0001 System-Level Electromigration-Induced Dynamic Reliability Management. Search on Bibsonomy 2017   RDF
18Xin Huang 0003, Valeriy Sukharev, Jun-Ho Choy, Marko Chew, Taeyoung Kim 0001, Sheldon X.-D. Tan Electromigration assessment for power grid networks considering temperature and thermal stress effects. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Yuanqing Cheng, Aida Todri-Sanial, Jianlei Yang 0001, Weisheng Zhao Alleviating Through-Silicon-Via Electromigration for 3-D Integrated Circuits Taking Advantage of Self-Healing Effect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Zhong Guan, Malgorzata Marek-Sadowska Incorporating Process Variations Into SRAM Electromigration Reliability Assessment Using Atomic Flux Divergence. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Palkesh Jain, Jordi Cortadella, Sachin S. Sapatnekar A Fast and Retargetable Framework for Logic-IP-Internal Electromigration Assessment Comprehending Advanced Waveform Effects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Gracieli Posser, Vivek Mishra, Palkesh Jain, Ricardo Reis 0001, Sachin S. Sapatnekar Cell-Internal Electromigration: Analysis and Pin Placement Based Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Hai-Bao Chen, Sheldon X.-D. Tan, Xin Huang 0003, Taeyoung Kim 0001, Valeriy Sukharev Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Xin Huang 0003, Armen Kteyan, Sheldon X.-D. Tan, Valeriy Sukharev Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Yuan Li, Hsin-Tzu Lee, Masumi Saka Influence of local thermal dissipation on electromigration in an Al thin-film line. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Shengcheng Wang, Mehdi Baradaran Tahoori, Krishnendu Chakrabarty Thermal-aware TSV repair for electromigration in 3D ICs. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
18Xin Huang 0003, Valeriy Sukharev, Taeyoung Kim 0001, Hai-Bao Chen, Sheldon X.-D. Tan Electromigration recovery modeling and analysis under time-dependent current and temperature stressing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Steve Bigalke, Jens Lienig Load-Aware Redundant Via Insertion for Electromigration Avoidance. Search on Bibsonomy ISPD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Chase Cook, Zeyu Sun 0001, Taeyoung Kim 0001, Sheldon X.-D. Tan Finite difference method for electromigration analysis of multi-branch interconnects. Search on Bibsonomy SMACD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Sandeep Chatterjee, Valeriy Sukharev, Farid N. Najm Fast physics-based electromigration checking for on-die power grids. Search on Bibsonomy ICCAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Zeyu Sun 0001, Ertugrul Demircan, Mehul D. Shroff, Taeyoung Kim 0001, Xin Huang 0003, Sheldon X.-D. Tan Voltage-based electromigration immortality check for general multi-branch interconnects. Search on Bibsonomy ICCAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Lucas de Paris, Gracieli Posser, Ricardo Reis 0001 Electromigration aware circuits by using special signal non-default routing rules. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Tiantao Lu, Zhiyuan Yang 0001, Ankur Srivastava 0001 Electromigration-aware placement for 3D-ICs. Search on Bibsonomy ISQED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Taeyoung Kim 0001, Zeyu Sun 0001, Chase Cook, Hengyang Zhao, Ruiwen Li, Daniel Wong 0001, Sheldon X.-D. Tan Invited - Cross-layer modeling and optimization for electromigration induced reliability. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Vivek Mishra, Sachin S. Sapatnekar Predicting electromigration mortality under temperature and product lifetime specifications. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Siyuan Frank Yang, Wei-Ting Kary Chien Electromigration Lifetime Optimization by Uniform Designs and a New Lifetime Index. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Di-An Li, Malgorzata Marek-Sadowska, Sani R. Nassif A Method for Improving Power Grid Resilience to Electromigration-Caused via Failures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Di-An Li, Malgorzata Marek-Sadowska, Sani R. Nassif T-VEMA: A Temperature- and Variation-Aware Electromigration Power Grid Analysis Tool. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Sandeep Chatterjee, Mohammad Fawaz, Farid N. Najm Redundancy-Aware Power Grid Electromigration Checking Under Workload Uncertainties. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18James C. E. Mertens, Antony Kirubanandham, Nikhilesh Chawla In situ fixture for multi-modal characterization during electromigration and thermal testing of wire-like microscale specimens. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Takuya Kadoguchi, Keisuke Gotou, Kimihiro Yamanaka, Shijo Nagao, Katsuaki Suganuma Electromigration behavior in Cu/Ni-P/Sn-Cu based joint system with low current density. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Simon Gousseau, Stéphane Moreau, David Bouchu, Alexis Farcy, Pierre Montmitonnet, Karim Inal, François Bay, Marc Zelsmann, Emmanuel Picard, Mathieu Salaün Electromigration-induced failure in operando characterization of 3D interconnects: microstructure influence. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 408 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license