The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase error-tolerance (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1976-1995 (16) 1996-2000 (17) 2001-2002 (20) 2003-2004 (21) 2005 (20) 2006 (30) 2007 (25) 2008 (36) 2009 (21) 2010 (17) 2011 (16) 2012-2013 (28) 2014-2015 (18) 2016-2017 (15) 2018-2020 (19) 2021-2023 (17) 2024 (2)
Publication types (Num. hits)
article(121) inproceedings(214) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 244 occurrences of 185 keywords

Results
Found 338 publication records. Showing 338 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Emmanouil Krasanakis, Symeon Papadopoulos, Ioannis Kompatsiaris Stopping Personalized PageRank without an Error Tolerance Parameter. Search on Bibsonomy ASONAM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Tifenn Hirtzlin, Marc Bocquet, Jacques-Olivier Klein, Etienne Nowak, Elisa Vianello, Jean-Michel Portal, Damien Querlioz Outstanding Bit Error Tolerance of Resistive RAM-Based Binarized Neural Networks. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
14Haonan Wang, Adwait Jog Exploiting Latency and Error Tolerance of GPGPU Applications for an Energy-Efficient DRAM. Search on Bibsonomy DSN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Xiaoxuan Lou, Fan Zhang 0010, Guorui Xu, Ziyuan Liang, Xinjie Zhao 0001, Shize Guo, Kui Ren 0001 Enhanced Differential Cache Attacks on SM4 with Algebraic Analysis and Error-Tolerance. Search on Bibsonomy Inscrypt The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Tifenn Hirtzlin, Marc Bocquet, Jacques-Olivier Klein, Etienne Nowak, Elisa Vianello, Jean-Michel Portal, Damien Querlioz Outstanding Bit Error Tolerance of Resistive RAM-Based Binarized Neural Networks. Search on Bibsonomy AICAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Yuto Tsukita, Mitsunori Ebara, Jun Furuta, Kazutoshi Kobayashi Soft-Error Tolerance Depending on Supply Voltage by Heavy Ions on Radiation-Hardened Flip Flops in a 65 nm Bulk Process. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Ko-Chi Kuo A 10-bit 250 MS/s Binary Search and Two channel SAR ADC by a two-bit per Conversion with Error Tolerance Ability. Search on Bibsonomy ISOCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Zhitao Guan, Guanlin Si, Xiaojiang Du, Peng Liu 0027 Protecting User Privacy Based on Secret Sharing with Error Tolerance for Big Data in Smart Grid. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
14Stefan Holst, Ruijun Ma, Xiaoqing Wen The impact of production defects on the soft-error tolerance of hardened latches. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Lita Yang, Daniel Bankman, Bert Moons, Marian Verhelst, Boris Murmann Bit Error Tolerance of a CIFAR-10 Binarized Convolutional Neural Network Processor. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Parker Hill Bridging the Scalability Gap by Exploiting Error Tolerance for Emerging Applications. Search on Bibsonomy 2018   RDF
14César Torres-Huitzil, Bernard Girau Fault and Error Tolerance in Neural Networks: A Review. Search on Bibsonomy IEEE Access The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Dimitra Papagiannopoulou, Andrea Marongiu, Tali Moreshet, Maurice Herlihy, R. Iris Bahar Edge-TM: Exploiting Transactional Memory for Error Tolerance and Energy Efficiency. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Sakib Ahammed, Muhammad Sheikh Sadi, Md Shamimur Rahman, Jan Jürjens Soft error tolerance using HVDQ (Horizontal-Vertical-Diagonal-Queen parity method). Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2017 DBLP  BibTeX  RDF
14Takao Marukame, Kodai Ueyoshi, Tetsuya Asai, Masato Motomura, Alexandre Schmid, Masamichi Suzuki, Yusuke Higashi, Yuichiro Mitani Error Tolerance Analysis of Deep Learning Hardware Using a Restricted Boltzmann Machine Toward Low-Power Memory Implementation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Amin Jadidi, Mohammad Arjomand, Mohammad Khavari Tavana, David R. Kaeli, Mahmut T. Kandemir, Chita R. Das Exploring the Potential for Collaborative Data Compression and Hard-Error Tolerance in PCM Memories. Search on Bibsonomy DSN The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Gangin Lee, Unil Yun, Heungmo Ryang, Donggyu Kim Approximate Maximal Frequent Pattern Mining with Weight Conditions and Error Tolerance. Search on Bibsonomy Int. J. Pattern Recognit. Artif. Intell. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Isaac Perez-Andrade, Shida Zhong, Robert G. Maunder, Bashir M. Al-Hashimi, Lajos Hanzo Stochastic Computing Improves the Timing-Error Tolerance and Latency of Turbo Decoders: Design Guidelines and Tradeoffs. Search on Bibsonomy IEEE Access The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni Timing Error Tolerance in Small Core Designs for SoC Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14R. Jothin, C. Vasanthanayaki High Performance Significance Approximation Error Tolerance Adder for Image Processing Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Yixin Luo, Sriram Govindan, Bikash Sharma, Mark Santaniello, Justin Meza, Aman Kansal, Jie Liu 0001, Badriddine M. Khessib, Kushagra Vaid, Onur Mutlu Heterogeneous-Reliability Memory: Exploiting Application-Level Memory Error Tolerance. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
14Huilan Chang, Yi-Tsz Tsai Improvements on some error-tolerance pooling designs. Search on Bibsonomy Discret. Appl. Math. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Changhai Ou, Zhu Wang 0005, Juan Ai, Xinping Zhou, Degang Sun, Victor E. DeBrunner Error Tolerance based Single Interesting Point Side Channel CPA Distinguisher. Search on Bibsonomy AsiaCCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Francesco Kriegel Axiomatization of General Concept Inclusions from Streams of Interpretations with Optional Error Tolerance. Search on Bibsonomy FCA4AI@ECAI The full citation details ... 2016 DBLP  BibTeX  RDF
14Kodai Ueyoshi, Takao Marukame, Tetsuya Asai, Masato Motomura, Alexandre Schmid Memory-error tolerance of scalable and highly parallel architecture for restricted Boltzmann machines in Deep Belief Network. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Mohammad Saeed Ansari, Ali Mahani 0001, Jie Han 0001, Bruce F. Cockburn A novel gate grading approach for soft error tolerance in combinational circuits. Search on Bibsonomy CCECE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Anup Das 0001, Shyamsundar Venkataraman, Akash Kumar 0001 Autonomous Soft-Error Tolerance of FPGA Configuration Bits. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Xin Xu, H. Howie Huang Exploring Data-Level Error Tolerance in High-Performance Solid-State Drives. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hao Wang 0042, Kai Zhao 0005, Jiangpeng Li, Tong Zhang 0002 Optimizing the Use of STT-RAM in SSDs Through Data-Dependent Error Tolerance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Tiberio Bittencourt, Orizon Pereira Ferreira Local convergence analysis of Inexact Newton method with relative residual error tolerance under majorant condition in Riemannian manifolds. Search on Bibsonomy Appl. Math. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Haixia Guo, Jizhu Nan Error-tolerance pooling design in a finite vector space. Search on Bibsonomy Ars Comb. The full citation details ... 2015 DBLP  BibTeX  RDF
14Bowen Zheng, Yue Gao, Qi Zhu 0002, Sandeep K. Gupta 0001 Analysis and optimization of soft error tolerance strategies for real-time systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Anthi Anastasiou, Yiorgos Tsiatouhas, Angela Arapoyanni On the reuse of existing error tolerance circuitry for low power scan testing. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Senwen Kan, Marco Ottavi, Jennifer Dworak Enhancing embedded SRAM security and error tolerance with hardware CRC and obfuscation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Jonathan Kimmitt A type-safe apparatus executing higher order functions in conjunction with hardware error tolerance. Search on Bibsonomy 2015   RDF
14Benjian Lv, Kaishun Wang, Jun Guo 0004 Error-tolerance pooling designs based on Johnson graphs. Search on Bibsonomy Optim. Lett. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Stefanos Valadimas, Andreas Floros, Yiorgos Tsiatouhas, Angela Arapoyanni, Xrysovalantis Kavousianos The Time Dilation Technique for Timing Error Tolerance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Haixia Guo, Jizhu Nan Construction of error-tolerance pooling designs in symplectic spaces. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Anthi Anastasiou, Yiorgos Tsiatouhas Power efficient scan testing by exploiting existing error tolerance circuitry in a design. Search on Bibsonomy ETS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Liang Wang 0055, Ramon Bertran, Alper Buyuktosunoglu, Pradip Bose, Kevin Skadron Characterization of transient error tolerance for a class of mobile embedded applications. Search on Bibsonomy IISWC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Stefanos Valadimas, Angela Arapoyanni Timing Error Tolerance in Pipeline Based Core Designs. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Hsiu-Ming Chang 0001, Jiun-Lang Huang, Ding-Ming Kwai, Kwang-Ting Cheng, Cheng-Wen Wu Low-Cost Error Tolerance Scheme for 3-D CMOS Imagers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Paul N. Whatmough, Shidhartha Das, David M. Bull, Izzat Darwazeh Circuit-Level Timing Error Tolerance for Low-Power DSP Filters and Transforms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni, Petros Xarchakos Effective Timing Error Tolerance in Flip-Flop Based Core Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Yuichiro Fujiwara Self-Synchronizing Pulse Position Modulation With Error Tolerance. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Yuichiro Fujiwara Self-synchronizing pulse position modulation with error tolerance Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
14Florian Schmidt 0002, David Orlea, Klaus Wehrle Support for Error Tolerance in the Real-Time Transport Protocol. Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
14Haonan Wang, Yufeng Yao, Tao Wang, Hui Wang, Yuhua Cheng A 6-bit 1GS/s DAC using an area efficient switching scheme for gradient-error tolerance. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Joshua P. Hecker, Karl Stolleis, Bjorn Swenson, Kenneth Letendre, Melanie E. Moses Evolving Error Tolerance in Biologically-Inspired iAnt Robots. Search on Bibsonomy ECAL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Rishad A. Shafik, Gerard K. Rauwerda, Jordy Potman, Kim Sunesen, Dhiraj K. Pradhan, Jimson Mathew, Ioannis Sourdis Software Modification Aided Transient Error Tolerance for Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Wonseok Kang, Eunsung Lee, Eunjung Chea, Aggelos K. Katsaggelos, Joonki Paik Compressive sensing-based image denoising using adaptive multiple sampling and optimal error tolerance. Search on Bibsonomy ICASSP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Tong-Yu Hsieh, Yi-Han Peng, Chia-Chi Ku An Efficient Test Methodology for Image Processing Applications Based on Error-Tolerance. Search on Bibsonomy Asian Test Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Anup Das 0001, Shyamsundar Venkataraman, Akash Kumar 0001 Improving autonomous soft-error tolerance of FPGA through LUT configuration bit manipulation. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Shyue-Kung Lu, Ming-Chang Chen, Yen-Chi Chen Error-tolerance evaluation and design techniques for motion estimation computing arrays. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Ken Yano, Takanori Hayashida, Toshinori Sato Improving timing error tolerance without impact on chip area and power consumption. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Joseph Agustyn Sloan Algorithmic approaches to enhancing and exploiting application-level error tolerance Search on Bibsonomy 2013   RDF
14Akinori Kawachi, Benjamin Rossman, Osamu Watanabe 0001 Query Complexity and Error Tolerance of Witness Finding Algorithms. Search on Bibsonomy Electron. Colloquium Comput. Complex. The full citation details ... 2012 DBLP  BibTeX  RDF
14Siti Sakira Kamaruddin, Abdul Razak Hamdan, Azuraliza Abu Bakar, Fauzias Mat Nor Deviation detection in text using conceptual graph interchange format and error tolerance dissimilarity function. Search on Bibsonomy Intell. Data Anal. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Orizon Pereira Ferreira, Benar Fux Svaiter A robust Kantorovich's theorem on the inexact Newton method with relative residual error tolerance. Search on Bibsonomy J. Complex. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Yichao He, Haiyan Tian, Xinlu Zhang, Zhiwei Wang, Suogang Gao Nonadaptive Algorithms for Threshold Group Testing with Inhibitors and Error-Tolerance. Search on Bibsonomy J. Comput. Biol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Xin-jie Zhao 0001, Fan Zhang 0010, Shize Guo, Tao Wang 0008, Zhijie Shi, Huiying Liu, Keke Ji MDASCA: An Enhanced Algebraic Side-Channel Attack for Error Tolerance and New Leakage Model Exploitation. Search on Bibsonomy COSADE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni Cost and power efficient timing error tolerance in flip-flop based microprocessor cores. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Hratch Mangassarian, Hiroaki Yoshida, Andreas G. Veneris, Shigeru Yamashita, Masahiro Fujita On error tolerance and Engineering Change with Partially Programmable Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Nathan W. Eloe, Jennifer L. Leopold, Chaman L. Sabharwal Efficient Computation of Object Boundary Intersection and Error Tolerance in VRCC-3D+. Search on Bibsonomy DMS The full citation details ... 2012 DBLP  BibTeX  RDF
14Sylvain Clerc, Fady Abouzeid, Gilles Gasiot, David Gauthier, Philippe Roche A 65nm SRAM achieving 250mV retention and 350mV, 1MHz, 55fJ/bit access energy, with bit-interleaved radiation Soft Error tolerance. Search on Bibsonomy ESSCIRC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Tuan Tran Thai, Jérôme Lacan, Hugo Méric Error tolerance schemes for H.264/AVC: An evaluation. Search on Bibsonomy CCNC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Shrikanth Ganapathy, Ramon Canal, Dan Alexandrescu, Enrico Costenaro, Antonio González 0001, Antonio Rubio 0001 A novel variation-tolerant 4T-DRAM cell with enhanced soft-error tolerance. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Sylvain Clerc, Fady Abouzeid, Gilles Gasiot, David Gauthier, Dimitri Soussan, Philippe Roche A 0.32V, 55fJ per bit access energy, CMOS 65nm bit-interleaved SRAM with radiation Soft Error tolerance. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Rajesh Inti, Wenjing Yin, Amr Elshazly, Naga Sasidhar, Pavan Kumar Hanumolu A 0.5-to-2.5 Gb/s Reference-Less Half-Rate Digital CDR With Unlimited Frequency Acquisition Range and Improved Input Duty-Cycle Error Tolerance. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Chun-Lung Hsu, Yu-Sheng Huang, Ming-Da Chang, Hung-Yen Huang Design of an Error-Tolerance Scheme for Discrete Wavelet Transform in JPEG 2000 Encoder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Tong-Yu Hsieh, Kuen-Jong Lee, Melvin A. Breuer An Error-Tolerance-Based Test Methodology to Support Product Grading for Yield Enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Jude A. Rivers, Meeta Sharma Gupta, Jeonghee Shin, Prabhakar Kudva, Pradip Bose Error Tolerance in Server Class Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Guoqi Li, Changyun Wen, Guang-Bin Huang, Yan Chen Error tolerance based support vector machine for regression. Search on Bibsonomy Neurocomputing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Ilia Polian, John P. Hayes Selective Hardening: Toward Cost-Effective Error Tolerance. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Dagmar Sternad, Masaki O. Abe, Xiaogang Hu, Hermann Müller Neuromotor Noise, Error Tolerance and Velocity-Dependent Costs in Skilled Performance. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Yu Bai 0004, Weidong Kuang Design of Asynchronous Circuits on FPGAs for Soft Error Tolerance. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Quang Tran Minh 0001, Eiji Kamioka Error-Tolerance in Quantifying Traffic States Using Mobile Phones. Search on Bibsonomy ISADS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14D. Lee, A. Chaterjee, S. Shin, John A. Copeland Error tolerance in wireless OFDM data transmission using signal quality driven symbol Re-mapping. Search on Bibsonomy CCNC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Philip J. Guo Sloppy Python: using dynamic analysis to automatically add error tolerance to ad-hoc data processing scripts. Search on Bibsonomy WODA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Rajesh Inti, Wenjing Yin, Amr Elshazly, Naga Sasidhar, Pavan Kumar Hanumolu A 0.5-to-2.5Gb/s reference-less half-rate digital CDR with unlimited frequency acquisition range and improved input duty-cycle error tolerance. Search on Bibsonomy ISSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Masayoshi Yoshimura, Yusuke Akamine, Yusuke Matsunaga A Soft Error Tolerance Estimation Method for Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Michael Short 0001, Imran Sheikh 0001, Syed Aley Imran Rizvi Bandwidth-efficient burst error tolerance in TDMA-based CAN networks. Search on Bibsonomy ETFA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Weidong Kuang, Peiyi Zhao, Jiann-Shiun Yuan, Ronald F. DeMara Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicrometer CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Avraham Ben-Aroya, Klim Efremenko, Amnon Ta-Shma A Note on Amplifying the Error-Tolerance of Locally Decodable Codes. Search on Bibsonomy Electron. Colloquium Comput. Complex. The full citation details ... 2010 DBLP  BibTeX  RDF
14G. G. dos Santos, Elaine Crespo Marques, Lirida A. B. Naviner, Jean-François Naviner Using error tolerance of target application for efficient reliability improvement of digital circuits. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Nishant J. George, Carl R. Elks, Barry W. Johnson, John C. Lach Bit-slice logic interleaving for spatial multi-bit soft-error tolerance. Search on Bibsonomy DSN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Joseph Sloan, David Kesler, Rakesh Kumar 0002, Ali Rahimi A numerical optimization-based methodology for application robustification: Transforming applications for error tolerance. Search on Bibsonomy DSN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Ping Gao 0002 Method for Formal Verification of Soft-Error Tolerance Mechanisms in Pipelined Microprocessors. Search on Bibsonomy ICFEM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Parman Sukarno, Mieng Phu, Nandita Bhattacharjee, Bala Srinivasan 0002 Increasing error tolerance in biometric systems. Search on Bibsonomy MoMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni Timing error tolerance in nanometer ICs. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Sobeeh Almukhaizim, Feng Shi 0010, Eric Love, Yiorgos Makris Soft-Error Tolerance and Mitigation in Asynchronous Burst-Mode Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Shuo Wang, Lei Wang 0003 Exploiting Memory Soft Redundancy for Joint Improvement of Error Tolerance and Access Efficiency. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Thomas Y. Yeh, Glenn Reinman, Sanjay J. Patel, Petros Faloutsos Fool me twice: Exploring and exploiting error tolerance in physics-based animation. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Shutaro Kabeya, Tohru Abe, Toshimichi Saito A GA-based flexible learning algorithm with error tolerance for digital binary neural networks. Search on Bibsonomy IJCNN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Saihua Lin, Huazhong Yang, Rong Luo A New Family of Sequential Elements With Built-in Soft Error Tolerance for Dual-VDD Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ying Zhang 0040, Huawei Li 0001, Xiaowei Li 0001 Reliable Network-on-Chip Router for Crosstalk and Soft Error Tolerance. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Inwook Kong, Earl E. Swartzlander Jr. A rounding method with improved error tolerance for division by convergence. Search on Bibsonomy ACSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14My T. Thai, David MacCallum, Ping Deng, Weili Wu 0001 Decoding algorithms in pooling designs with inhibitors and error-tolerance. Search on Bibsonomy Int. J. Bioinform. Res. Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee Soft-Error Tolerance Analysis and Optimization of Nanometer Circuits Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
14Lei Zhang 0008, Huawei Li 0001, Xiaowei Li 0001 A Routing Algorithm for Random Error Tolerance in Network-on-Chip. Search on Bibsonomy HCI (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, routing, reliability, Network-on-Chip, error detecting, error correcting
Displaying result #101 - #200 of 338 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license