The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-delay (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1993 (19) 1994-1995 (17) 1996-1997 (17) 1998-1999 (15) 2000-2001 (15) 2002 (15) 2003 (16) 2004-2005 (30) 2006 (16) 2007 (22) 2008 (17) 2009-2011 (15) 2012-2015 (15) 2016-2021 (10)
Publication types (Num. hits)
article(75) inproceedings(164)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 213 occurrences of 151 keywords

Results
Found 239 publication records. Showing 239 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Shubhankar Basu, Priyanka Thakore, Ranga Vemuri Process Variation Tolerant Standard Cell Library Development Using Reduced Dimension Statistical Modeling and Optimization Techniques. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin, Amir H. Ajami Modeling and Propagation of Noisy Waveforms in Static Timing Analysis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Hiroshi Saito, Alex Kondratyev, Takashi Nanya Design of Asynchronous Controllers with Delay Insensitive Interface. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay insensitive interface, gate-level transformation, behavioral transformation, asynchronous circuits, hazards
18Norio Kuji, Takako Ishihara EB-Testing-Pad Method and Its Evaluation by Actual Devices. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF E-beam tester, stacked vias, testing pads, multi level wiring, CMp, SIMOX/CMOS technology, observability
18Cho W. Moon, Paul R. Stephan, Robert K. Brayton Specification, synthesis, and verification of hazard-free asynchronous circuits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Eric M. Schwarz, Michael J. Flynn Cost-efficient high-radix division. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Mahmut Yilmaz, Krishnendu Chakrabarty, Mohammad Tehranipoor Test-Pattern Grading and Pattern Selection for Small-Delay Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Small-delay defects, pattern grading, pattern selection, ATPG
16Yu Cao, Lawrence T. Clark Mapping statistical process variations toward circuit performance variability: an analytical modeling approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay, process variations, variability
16Kazuhito Ito, Daisuke Suzuki A high-level synthesis method for simultaneous placement and scheduling considering data communication delay. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Soha Hassoun Critical path analysis using a dynamically bounded delay model. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Antti Mäntyniemi, Timo Rahkonen, Juha Kostamovaara A high resolution digital CMOS time-to-digital converter based on nested delay locked loops. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Yuji Kukimoto, Robert K. Brayton Hierarchical Functional Timing Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16David Wessels, Jon C. Muzio The dangers of simplistic delay models. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF critical paths, Delay models, path sensitization, circuit delays
16Kurt Keutzer, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli Synthesis for testability techniques for asynchronous circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Andrzej Krasniewski, Leszek B. Wronski Coverage of Delay Faults: When 13% and 99% Mean the Same. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Kye S. Hedlund Electrical optimization of PLAs. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Byungwoo Choi, D. M. H. Walker Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect coupling, delay fault model, process variation, timing analysis, delay fault test
15Vishal Khandelwal, Ankur Srivastava 0001 A Quadratic Modeling-Based Framework for Accurate Statistical Timing Analysis Considering Correlations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Vishal Khandelwal, Ankur Srivastava 0001 A general framework for accurate statistical timing analysis considering correlations. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF correlation, variability, statistical timing
15Sachin S. Sapatnekar, Rahul B. Deokar Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Dimitrios Garyfallou, Stavros Simoglou, Nikolaos Sketopoulos, Charalampos Antoniadis, Christos P. Sotiriou, Nestor E. Evmorfopoulos, George I. Stamoulis Gate Delay Estimation With Library Compatible Current Source Models and Effective Capacitance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Walter Schneider Statistical gate-delay modeling with copulas. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Sujay Pandey, Zhiwei Liao, Shreyas Nandi, Suriyaprakash Natarajan, Arani Sinha, Adit D. Singh, Abhijit Chatterjee Two Pattern Timing Tests Capturing Defect-Induced Multi-Gate Delay Impact of Shorts. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14Peng Cao 0002, Zhiyuan Liu 0011, Jingjing Guo, Jiangping Wu An Analytical Gate Delay Model in Near/Subthreshold Domain Considering Process Variation. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Paolo Paletti, Mina Asghari Heidarlou, Karla González-Serrano, Cristobal Alessandri, Alan C. Seabaugh Steep Subthreshold Swing Originating from Gate Delay. Search on Bibsonomy DRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Taizhi Liu, Chang-Chih Chen, Linda Milor Comprehensive Reliability-Aware Statistical Timing Analysis Using a Unified Gate-Delay Model for Microprocessors. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Asad Ali Nawaz, Jubaid Abdul Qayyum, Ahmet Cagri Ulusoy, John D. Cressler, Wibo D. Van Noort A new figure-of-merit for CML gate delay estimation. Search on Bibsonomy WiSNet The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Assia El-Hadbi, Abdelkarim Cherkaoui, Oussama Elissati, Jean Simatic, Laurent Fesquet On-the-fly and sub-gate-delay resolution TDC based on self-timed ring: A proof of concept. Search on Bibsonomy NEWCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Yoshinobu Higami, Senling Wang, Hiroshi Takahashi, Shin-ya Kobayashi, Kewal K. Saluja Diagnosis Methods for Gate Delay Faults with Various Amounts of Delays. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Arnaud Virazel, Paolo Bernardi, Matteo Sonza Reorda An effective ATPG flow for Gate Delay Faults. Search on Bibsonomy DTIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Bishnu Prasad Das, Hidetoshi Onodera On-Chip Measurement of Rise/Fall Gate Delay Using Reconfigurable Ring Oscillator. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Steven Bielby, Gordon W. Roberts Sub-gate-delay edge-control of a clock signal using DLLs and ΣΔ modulation techniques. Search on Bibsonomy CCECE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Kenichi Shinkai, Masanori Hashimoto, Takao Onoye A gate-delay model focusing on current fluctuation over wide range of process-voltage-temperature variations. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Donkyu Baek, Insup Shin, Youngsoo Shin Accurate gate delay Extraction for Timing Analysis of Body-Biased Circuits. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Prasanjeet Das, Sandeep K. Gupta 0001 Gate delay modeling for pre- and post-silicon timing related tasks for ultra-low power CMOS circuits. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Suchismita Roy, P. P. Chakrabarti 0001, Pallab Dasgupta SAT based timing analysis for fixed and rise/fall gate delay models. Search on Bibsonomy Integr. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Ryo Takahashi, Hidehiro Takata, Tadashi Yasufuku, Hiroshi Fuketa, Makoto Takamiya, Masahiro Nomura, Hirofumi Shinohara, Takayasu Sakurai Large Within-Die Gate Delay Variations in Sub-Threshold Logic Circuits at Low Temperature. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Donkyu Baek, Insup Shin, Youngsoo Shin Gate delay modeling for static timing analysis of body-biased circuits. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Ali Arabi M. Shahi, Payman Zarkesh-Ha Prediction of gate delay variation for CNFET under CNT density variation. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Chin-Hsin Lin, Marek Syrzycki Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution. Search on Bibsonomy Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Takaaki Okumura, Fumihiro Minami, Kenji Shimazaki, Kimihiko Kuwada, Masanori Hashimoto Gate Delay Estimation in STA under Dynamic Power Supply Noise. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Digeorgia N. da Silva, André Inácio Reis, Renato P. Ribas Gate delay variability estimation method for parametric yield improvement in nanometer CMOS technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Takaaki Okumura, Fumihiro Minami, Kenji Shimazaki, Kimihiko Kuwada, Masanori Hashimoto Gate delay estimation in STA under dynamic power supply noise. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Marco Zanuso, Salvatore Levantino, Carlo Samori, Andrea L. Lacaita A 3MHz-BW 3.6GHz digital fractional-N PLL with sub-gate-delay TDC, phase-interpolation divider, and digital mismatch cancellation. Search on Bibsonomy ISSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Mingzhi Gao, Zuochang Ye, Yao Peng 0003, Yan Wang 0023, Zhiping Yu A comprehensive model for gate delay under process variation and different driving and loading conditions. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Takayuki Fukuoka, Akira Tsuchiya, Hidetoshi Onodera Statistical Gate Delay Model for Multiple Input Switching. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Bishnu Prasad Das, Bharadwaj Amrutur, H. S. Jamadagni, N. V. Arvind, V. Visvanathan Within-die gate delay variability measurement using re-configurable ring oscillator. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Sangwoo Han, Yooseong Kim, Woosick Choi, Inho Shin, Youngdoo Choi A second-order gate delay modeling method with an efficient sensitivity analysis. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Y. Satish Kumar, Jun Li 0066, Claudio Talarico, Janet Meiling Wang A Probabilistic Collocation Method Based Statistical Gate Delay Model Considering Process Variations and Multiple Input Switching Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
14Anand Ramalingam, Sreekumar V. Kodakara, Anirudh Devgan, David Z. Pan Robust analytical gate delay modeling for low voltage circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Shahdad Irajpour, Sandeep K. Gupta 0001, Melvin A. Breuer Multiple tests for each gate delay fault: higher coverage and lower test application cost. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Soroush Abbaspour, Massoud Pedram Gate delay calculation considering the crosstalk capacitances. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Ken-ichi Okada, Kento Yamaoka, Hidetoshi Onodera A statistical gate delay model for intra-chip and inter-chip variabilities. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14George Theodoridis, Spyros Theoharis, Dimitrios Soudris, Constantinos E. Goutis A Probabilistic Power Estimation Method for Combinational Circuits Under Real Gate Delay Model. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer A New Gate Delay Model for Simultaneous Switching and Its Applications. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Kaoru Kusafuka, Hidehisa Shimizu, Shinichi Kimura Driving method for gate-delay compensation of TFT/LCD. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Hiroshi Takahashi, Takashi Watanabe, Toshiyuki Matsunaga, Yuzo Takamatsu Tests for small gate delay faults in combinational circuits and a test generation method. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Ravishankar Arunachalam, Florentin Dartu, Lawrence T. Pileggi CMOS Gate Delay Models for General RLC Loading. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14P. Cavallera, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch DFSIM: A Gate-Delay Fault Simulator for Sequential Circuits. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Noriyoshi Itazaki, Kozo Kinoshita, Hisao Naitoh Test pattern generation for crosstalk faults considering the gate delay. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14G. Van Brakel, Uwe Gläser, Hans G. Kerkhoff, Heinrich Theodor Vierhaus Gate delay fault test generation for non-scan circuits. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Arno Kunzmann, Frank Böhland Gate-Delay Fault Test with Conventional Scan-Design. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Franco Fummi, Donatella Sciuto, Micaela Serra Test Generation for Stuck-at and Gate-Delay Faults in Sequential Circuits: A Mixed Functional/Structural Method. Search on Bibsonomy DFT The full citation details ... 1994 DBLP  BibTeX  RDF
14Florentin Dartu, Noel Menezes, Jessica Qian, Lawrence T. Pillage A Gate-Delay Model for high-Speed CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Pranav Ashar, Srinivas Devadas, Kurt Keutzer Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Udo Mahlstedt DELTEST: Deterministic Test Generation for Gate-Delay Faults. Search on Bibsonomy ITC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Daniel Brand, Vijay S. Iyengar Identification of Single Gate Delay Fault Redundancies. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Weiwei Mao, Michael D. Ciletti Robustness Enhancement and Detection Threshold Reduction in ATPG for Gate Delay Faults. Search on Bibsonomy ITC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Pranav Ashar, Srinivas Devadas, Kurt Keutzer Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
14Veronika Eisele, Bernhard Hoppe, Oliver Kiehl Transmission gate delay models for circuit optimization. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Chao-Hsuan Hsu, Chester Liu, En-Hua Ma, James Chien-Mo Li Static timing analysis for flexible TFT circuits. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF flexible electronics, static timing analysis
14Ratnakar Goyal, Harindranath Parameswaran, Sachin Shrivastava Computation of Waveform Sensitivity Using Geometric Transforms for SSTA. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Timing Library, Accuracy, SSTA
14Dennis K. Y. Tong, Evangeline F. Y. Young, Chris C. N. Chu, Sampath Dechu Wire Retiming Problem With Net Topology Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar An analytical model for negative bias temperature instability. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Zhaojun Wo, Israel Koren Effective analytical delay model for transistor sizing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Arun Krishnamachary, Jacob A. Abraham Test generation for resistive opens in CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF resistive opens, delay testing, defect detection
14Srinivas Devadas, Kurt Keutzer Synthesis of robust delay-fault-testable circuits: practice. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
13Haining Fan, M. Anwar Hasan A New Approach to Subquadratic Space Complexity Parallel Multipliers for Extended Binary Fields. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF subquadratic space complexity multiplier, shifted polynomial basis, Finite field, coordinate transformation, Toeplitz matrix
13Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent waveform propagation for static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent Waveform Propagation for Static Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Chorng-Sii Hwang, Poki Chen, Hen-Wai Tsao A high-resolution and fast-conversion time-to-digital converter. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Matthias Ringe, Thomas Lindenkreuz, Erich Barke Static Timing Analysis Taking Crosstalk into Account. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Hiroshi Saito, Alex Kondratyev, Jordi Cortadella, Luciano Lavagno, Alexandre Yakovlev What is the cost of delay insensitivity? Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Weiyu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation in VLSI circuits for crosstalk noise. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Takashi Enami, Masanori Hashimoto, Takashi Sato Decoupling capacitance allocation for timing with statistical noise model and timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Egor S. Sogomonyan, Daniel Marienfeld, Vitalij Ocheretnij, Michael Gössel A New Self-Checking Sum-Bit Duplicated Carry-Select Adder. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Mahilchi Milir Vaseekar Kumar, Saravanan Padmanaban, Spyros Tragoudas Low power ATPG for path delay faults. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, ATPG, path delay faults, PODEM
11Wen-Ben Jone, Yun-Pan Ho, Sunil R. Das Delay Fault Coverage Enhancement Using Variable Observation Times. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF statistical delay fault coverage, delay test observation times, delay fault testing
11Wen-Ben Jone, Yun-Pan Ho, Sunil R. Das Delay Fault Coverage Enhancement Using Multiple Test Observation Times. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Wayne Wei-Ming Dai Chip Parasitic Extraction and Signal Integrity Verification (Extended Abstract). Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11William K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Valid clock frequencies and their computation in wavepipelined circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Abhijit Chatterjee, Jacob A. Abraham RAFT191486: a novel program for rapid-fire test and diagnosis of digital logic for marginal delays and delay faults. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Ankan K. Pramanick, Sudhakar M. Reddy On the fault coverage of delay fault detecting tests. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Shih-Hung Weng, Yu-Min Kuo, Shih-Chieh Chang, Malgorzata Marek-Sadowska Timing analysis considering IR drop waveforms in power gating designs. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Malcolm Taylor, Chi-En Daniel Yin, Min Wu, Gang Qu 0001 A Hardware-Assisted Data Hiding Based Approach in Building High-Performance Trusted Computing Systems. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Shubhankar Basu, Ranga Vemuri Process Variation and NBTI Tolerant Standard Cells to Improve Parametric Yield and Lifetime of ICs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jishun Kuang, Yu Wang, Xiaofen Wei, Changnian Zhang IDDT ATPG Based on Ambiguous Delay Assignments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF IDDT testing, delay Assignments, stuck-open fault
11Ohsang Kwon, Kevin J. Nowka, Earl E. Swartzlander Jr. A 16-Bit by 16-Bit MAC Design Using Fast 5: 3 Compressor Cells. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3:2 counter, 4:2 compressor, 5:3 compressor, 5:2 compressor, MAC, multiplier
11Hyunsik Im Physical insight into fractional power dependence of saturation current on gate voltage in advanced short channel MOSFETS (alpha-power law model). Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ?-power model, MOSFET modeling, Saturation current
11Scott Woods, Giorgio Casinovi Gate-level simulation of digital circuits using multi-valued Boolean algebras. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Gate-level logic simulation, mixed-mode simulation, boolean equations solution, multi-valued logic
Displaying result #101 - #200 of 239 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license