The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-sizing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1996 (19) 1997-1998 (15) 1999-2000 (15) 2001-2003 (19) 2004 (15) 2005 (24) 2006 (22) 2007 (19) 2008 (30) 2009-2010 (18) 2011-2012 (22) 2013-2014 (15) 2015-2017 (16) 2018-2021 (16) 2022-2024 (9)
Publication types (Num. hits)
article(75) incollection(2) inproceedings(197)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 183 occurrences of 100 keywords

Results
Found 274 publication records. Showing 274 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24John Lillis, Premal Buch Table-Lookup Methods for Improved Performance-Driven Routing. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
24Bogdan G. Arsintescu, Edoardo Charbon, Enrico Malavasi, Umakanta Choudhury, William H. Kao General AC Constraint Transformation for Analog ICs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
24David S. Kung 0001 A Fast Fanout Optimization Algorithm for Near-Continuous Buffer Libraries. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
24Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Buffer Insertion for Noise and Delay Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
24Hai Zhou 0001, D. F. Wong 0001 Global Routing with Crosstalk Constraints. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
24Arun N. Lokanathan, Jay B. Brockman Process Multi-Circuit Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
24Chao-Yang Yeh, Malgorzata Marek-Sadowska Timing-Aware Power-Noise Reduction in Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24I-Jye Lin, Tsui-Yee Ling, Yao-Wen Chang Statistical circuit optimization considering device andinterconnect process variations. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate and wire sizing, statistical optimization
24Xiaoji Ye, Yaping Zhan, Peng Li 0001 Statistical Leakage Power Minimization Using Fast Equi-Slack Shell Based Optimization. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Youngmoon Choi, Earl E. Swartzlander Jr. Parallel Prefix Adder Design with Matrix Representation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Ingmar Neumann, Dominik Stoffel, Hendrik Hartje, Wolfgang Kunz Cell replication and redundancy elimination during placement for cycle time optimization. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Hiran Tennakoon, Carl Sechen Nonconvex Gate Delay Modeling and Delay Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Ravi Varadarajan Convergence of placement technology in physical synthesis: is placement really a point tool? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Yuyang Ye, Peng Xu, Lizheng Ren, Tinghuan Chen, Hao Yan, Bei Yu 0001, Longxing Shi Learning-driven Physically-aware Large-scale Circuit Gate Sizing. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Dimitrios Mangiras, David G. Chinnery, Giorgos Dimitrakopoulos Task-Based Parallel Programming for Gate Sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Karthikeyan Muthamizh Vithagan, Vignesh Sundaresha, Janakiraman Viraraghavan Geometric Programming Approach to Glitch Minimization via Gate Sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Chung-Kuan Cheng, Chester Holtz, Andrew B. Kahng, Bill Lin 0001, Uday Mallappa DAGSizer: A Directed Graph Convolutional Network Approach to Discrete Gate Sizing of VLSI Graphs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Junyu Jiang Optimized Gate Sizing for Improved Performance and Power Efficiency in Adder Circuits. Search on Bibsonomy ICITEE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Phuoc Pham, Jaeyong Chung AGD: A Learning-based Optimization Framework for EDA and its Application to Gate Sizing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20David G. Chinnery, Ankur Sharma 0001 Integrating LR Gate Sizing in an Industrial Place-and-Route Flow. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Xinyi Zhou 0010, Junjie Ye, Chak-Wa Pui, Kun Shao, Guangliang Zhang, Bin Wang 0034, Jianye Hao, Guangyong Chen, Pheng-Ann Heng Heterogeneous Graph Neural Network-Based Imitation Learning for Gate Sizing Acceleration. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Siddhartha Nath, Geraldo Pradipta, Corey Hu, Tian Yang, Brucek Khailany, Haoxing Ren Generative self-supervised learning for gate sizing: invited. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Aiman H. El-Maleh, Ghashmi H. Bin Talib Time redundancy and gate sizing soft error-tolerant based adder design. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Seyed Milad Ebrahimipour, Behnam Ghavami, Mohsen Raji A Statistical Gate Sizing Method for Timing Yield and Lifetime Reliability Optimization of Integrated Circuits. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Yi-Chen Lu, Siddhartha Nath, Vishal Khandelwal, Sung Kyu Lim RL-Sizer: VLSI Gate Sizing for Timing Optimization using Deep Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Dimitrios Mangiras, Giorgos Dimitrakopoulos Incremental Lagrangian Relaxation based Discrete Gate Sizing and Threshold Voltage Assignment. Search on Bibsonomy MOCAST The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Ankur Sharma 0001, David G. Chinnery, Tiago Reimann, Sarvesh Bhardwaj, Chris Chu Fast Lagrangian Relaxation-Based Multithreaded Gate Sizing Using Simple Timing Calibrations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Juliano C. Zanelli, Carolina Metzler, Ricardo Augusto da Luz Reis Gate Sizing for Power-Delay Optimization at Transistor-level Monolithic 3D-Integrated Circuits. Search on Bibsonomy LASCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Mohsen Raji, M. Amin Sabet, Behnam Ghavami Soft Error Reliability Improvement of Digital Circuits by Exploiting a Fast Gate Sizing Scheme. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Behnam Ghavami, Mohsen Raji, Ramin Rasaizadi, Mashaallah Mashinchi Process variation-aware gate sizing with fuzzy geometric programming. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Andres F. Gomez, Víctor H. Champac An Efficient Metric-Guided Gate Sizing Methodology for Guardband Reduction Under Process Variations and Aging Effects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Henrique Placido, Ricardo Reis 0001 Tackling the Drawbacks of a Lagrangian Relaxation Based Discrete Gate Sizing Algorithm. Search on Bibsonomy ISVLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Ankur Sharma 0001, David G. Chinnery, Chris Chu Lagrangian Relaxation Based Gate Sizing With Clock Skew Scheduling - A Fast and Effective Approach. Search on Bibsonomy ISPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Patanjali SLPSK, Prasanna Karthik Vairam, Chester Rebeiro, V. Kamakoti 0001 Karna: A Gate-Sizing based Security Aware EDA Flow for Improved Power Side-Channel Attack Protection. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Siad Daboul, Nicolai Hähnle, Stephan Held, Ulrike Schorr Provably Fast and Near-Optimum Gate Sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Zahira Perez, Hector Villacorta, Víctor H. Champac An accurate novel gate-sizing metric to optimize circuit performance under local intra-die process variations. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Xuebing Cao, Liyi Xiao, Linzhe Li, Jie Li 0030, Jiaqiang Li, Jinxiang Wang 0001 Soft error optimization of combinational circuit based on gate sizing and multi-objective particle swarm optimization algorithm. Search on Bibsonomy IOLTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Andres F. Gomez, Roberto Gómez 0001, Víctor H. Champac A metric-guided gate-sizing methodology for aging guardband reduction. Search on Bibsonomy LATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20M. Amin Sabet, Behnam Ghavami, Mohsen Raji A Scalable Solution to Soft Error Tolerant Circuit Design Using Partitioning-Based Gate Sizing. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Mohsen Raji, Behnam Ghavami Soft Error Rate Reduction of Combinational Circuits Using Gate Sizing in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Ankur Sharma 0001, David G. Chinnery, Shrirang Dhamdhere, Chris Chu Rapid gate sizing with fewer iterations of Lagrangian Relaxation. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Vijay Sundararajan Gate Sizing. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Subhendu Roy, Derong Liu 0002, Jagmohan Singh, Junhyung Um, David Z. Pan OSFA: A New Paradigm of Aging Aware Gate-Sizing for Power/Performance Optimizations Under Multiple Operating Conditions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Sangmin Kim, Seokhyeong Kang, Youngsoo Shin Synthesis of Dual-Mode Circuits Through Library Design, Gate Sizing, and Clock-Tree Optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Ramprasath S 0001, Vinita Vasudevan Efficient Algorithms for Discrete Gate Sizing and Threshold Voltage Assignment Based on an Accurate Analytical Statistical Yield Gradient. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Gang Wu 0002, Chris C. N. Chu Simultaneous slack matching, gate sizing and repeater insertion for asynchronous circuits. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
20Jeong-Won Kim, Deok Keun Oh, Juho Kim Performance optimization in FinFET-based circuit using TILOS-like gate sizing. Search on Bibsonomy ISIC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Tony Casagrande, Nagarajan Ranganathan GTFUZZ: a novel algorithm for robust dynamic power optimization via gate sizing with fuzzy games. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
20Gang Wu 0002, Ankur Sharma 0001, Chris C. N. Chu Gate Sizing and Vth Assignment for Asynchronous Circuits Using Lagrangian Relaxation. Search on Bibsonomy ASYNC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Tiago Reimann, Cliff C. N. Sze, Ricardo Reis 0001 Gate sizing and threshold voltage assignment for high performance microprocessor designs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Ankur Sharma 0001, David G. Chinnery, Sarvesh Bhardwaj, Chris C. N. Chu Fast Lagrangian Relaxation Based Gate Sizing using Multi-Threading. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Jiani Xie, C. Y. Roger Chen Lookup Table Based Discrete Gate Sizing for Delay Minimization with Modified Elmore Delay Model. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Srinath R. Naidu Geometric Programming Formulation for Gate Sizing with Pipelining Constraints. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Subhendu Roy, Derong Liu 0002, Junhyung Um, David Z. Pan OSFA: a new paradigm of gate-sizing for power/performance optimizations under multiple operating conditions. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Amin Farshidi, Logan M. Rakai, Laleh Behjat, David T. Westwick Optimal gate sizing using a self-tuning multi-objective framework. Search on Bibsonomy Integr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Guilherme Flach, Tiago Reimann, Gracieli Posser, Marcelo O. Johann, Ricardo Reis 0001 Effective Method for Simultaneous Gate Sizing and $V$ th Assignment Using Lagrangian Relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Ing-Chao Lin, Shun-Ming Syu, Tsung-Yi Ho NBTI tolerance and leakage reduction using gate sizing. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Vinicius S. Livramento, Chrystian Guth, José Luís Almada Güntzel, Marcelo O. Johann A Hybrid Technique for Discrete Gate Sizing Based on Lagrangian Relaxation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Azam Beg Automating the CMOS Gate Sizing for Reduced Power/Energy. Search on Bibsonomy FIT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Andrew B. Kahng, Hyein Lee 0001 Minimum implant area-aware gate sizing and placement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Subhendu Roy, David Z. Pan Reliability Aware Gate Sizing Combating NBTI and Oxide Breakdown. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Guilherme Flach, Tiago Reimann, Gracieli Posser, Marcelo O. Johann, Ricardo Reis 0001 Simultaneous gate sizing and Vth assignment using Lagrangian Relaxation and delay sensitivities. Search on Bibsonomy ISVLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Nathaniel A. Conos, Saro Meguerdichian, Miodrag Potkonjak Gate Sizing Under Uncertainty. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Vinicius S. Livramento, Chrystian Guth, José Luís Güntzel, Marcelo O. Johann Fast and efficient lagrangian relaxation-based discrete gate sizing. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Andrew B. Kahng, Seokhyeong Kang, Hyein Lee 0001, Igor L. Markov, Pankit Thapar High-performance gate sizing with a signoff timer. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Tiago Reimann, Gracieli Posser, Guilherme Flach, Marcelo O. Johann, Ricardo Reis 0001 Simultaneous gate sizing and Vt assignment using Fanin/Fanout ratio and Simulated Annealing. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Amin Farshidi, Logan M. Rakai, Laleh Behjat, David T. Westwick A self-tuning multi-objective optimization framework for geometric programming with gate sizing applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Mohsen Jafari, Mohsen Imani, Morteza Fathipour, Nader Sehatbakhsh Bottom-up design of a high performance ultra-low power DFT utilizing multiple-VDD, multiple-Vth and gate sizing. Search on Bibsonomy DTIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20John Lee 0002, Puneet Gupta 0001 Discrete Circuit Optimization: Library Based Gate Sizing and Threshold Voltage Assignment. Search on Bibsonomy Found. Trends Electron. Des. Autom. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Muhammet Mustafa Ozdal, Steven M. Burns, Jiang Hu Algorithms for Gate Sizing and Device Parameter Selection for High-Performance Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Bing Shi, Yufu Zhang, Ankur Srivastava 0001 Accelerating Gate Sizing Using Graphics Processing Units. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20John Lee 0002, Puneet Gupta 0001 ECO cost measurement and incremental gate sizing for late process changes. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Morteza Nabavi, Maitham Shams A gate sizing and transistor fingering strategy for subthreshold CMOS circuits. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Vinicius dos S. Livramento, Chrystian Guth, José Luís Güntzel, Marcelo O. Johann Lagrangian relaxation-based Discrete Gate Sizing for leakage power minimization. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Andrew B. Kahng, Seokhyeong Kang Construction of realistic gate sizing benchmarks with known optimal solutions. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Gregory Shklover, Ben Emanuel Simultaneous clock and data gate sizing algorithm with common global objective. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Jin Hu, Andrew B. Kahng, Seokhyeong Kang, Myung-Chul Kim, Igor L. Markov Sensitivity-guided metaheuristics for accurate discrete gate sizing. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Yoni Aizik, Avinoam Kolodny Finding the Energy Efficient Curve: Gate Sizing for Minimum Power under Delay Constraints. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Sanghamitra Roy, Koushik Chakraborty Exploiting dynamic micro-architecture usage in gate sizing. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Huan Ren, Shantanu Dutt Effective Power Optimization Under Timing and Voltage-Island Constraints Via Simultaneous Vdd, Vth Assignments, Gate Sizing, and Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Chen Liao, Shiyan Hu Approximation scheme for restricted discrete gate sizing targeting delay minimization. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Mustafa Aktan, Dursun Baran, Vojin G. Oklobdzija A Quick Method for Energy Optimized Gate Sizing of Digital Circuits. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Shuzhe Zhou, Hailong Yao, Qiang Zhou 0001, Yici Cai Minimization of Circuit Delay and Power through Gate Sizing and Threshold Voltage Assignment. Search on Bibsonomy ISVLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Gracieli Posser, Guilherme Flach, Gustavo Wilke, Ricardo Reis 0001 Gate Sizing Minimizing Delay and Area. Search on Bibsonomy ISVLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Abhishek A. Sinkar, Nam Sung Kim AVS-aware power-gate sizing for maximum performance and power efficiency of power-constrained processors. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Muhammet Mustafa Ozdal, Steven M. Burns, Jiang Hu Gate sizing and device technology selection algorithms for high-performance industrial designs. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Junjun Gu, Gang Qu 0001, Lin Yuan, Cheng Zhuo Improving dual Vt technology by simultaneous gate sizing and mechanical stress optimization. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Jason Cong, John Lee 0002, Guojie Luo A unified optimization framework for simultaneous gate sizing and placement under density constraints. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Lei Wang, Markus Olbrich, Erich Barke, Thomas Büchner, Markus Bühler, Philipp V. Panitz A gate sizing method for glitch power reduction. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Yifang Liu, Jiang Hu A New Algorithm for Simultaneous Gate Sizing and Threshold Voltage Assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20S. Saqib Khursheed, Bashir M. Al-Hashimi, Krishnendu Chakrabarty, Peter Harrod Gate-Sizing-Based Single Vdd Test for Bridge Defects in Multivoltage Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Shantanu Dutt, Huan Ren Timing yield optimization via discrete gate sizing using globally-informed delay PDFs. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20John Lee 0002, Puneet Gupta 0001 Incremental gate sizing for late process changes. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Sanghamitra Roy, Koushik Chakraborty Microarchitecture aware gate sizing: A framework for circuit-architecture co-optimization. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Jin Sun 0006, Janet Meiling Wang Robust gate sizing by Uncertainty Second Order Cone. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Shiyan Hu, Mahesh Ketkar, Jiang Hu Gate Sizing for Cell-Library-Based Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Jia Wang 0003, Debasish Das, Hai Zhou 0001 Gate Sizing by Lagrangian Relaxation Revisited. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Stephan Held Gate sizing for large cell-based designs. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20S. Saqib Khursheed, Bashir M. Al-Hashimi, Peter Harrod Test cost reduction for multiple-voltage designs with bridge defects through Gate-Sizing. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Vijay Sundararajan Gate Sizing. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 274 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license