The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for inductance with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1995 (15) 1996-1998 (22) 1999 (21) 2000 (23) 2001 (35) 2002 (54) 2003 (49) 2004 (48) 2005 (50) 2006 (61) 2007 (37) 2008 (32) 2009 (19) 2010-2011 (29) 2012 (17) 2013 (22) 2014 (23) 2015 (18) 2016 (29) 2017 (30) 2018 (42) 2019 (42) 2020 (35) 2021 (42) 2022 (39) 2023 (39) 2024 (16)
Publication types (Num. hits)
article(396) incollection(1) inproceedings(490) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 243 occurrences of 146 keywords

Results
Found 889 publication records. Showing 889 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Brock J. LaMeres, Sunil P. Khatri Bus stuttering: an encoding technique to reduce inductive noise in off-chip data transmission. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Hao Yu 0001, Lei He 0001 A provably passive and cost-efficient model for inductive interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Shang-Wei Tu, Jing-Yang Jou, Yao-Wen Chang RLC coupling-aware simulation for on-chip buses and their encoding for delay reduction. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Mingcui Zhou, Wentai Liu, Mohanasankar Sivaprakasam A closed-form delay formula for on-chip RLC interconnects in current-mode signaling. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Mikhail Popovich, Eby G. Friedman Noise coupling in multi-voltage power distribution systems with decoupling capacitors. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Andrey V. Mezhiba, Eby G. Friedman Impedance characteristics of power distribution grids in nanoscale integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Subhash Chander Rustagi, Chun-Geik Tan Equivalent circuit models for stacked spiral inductors in deep submicron CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Michael D. Powell, T. N. Vijaykumar Pipeline Damping: A Microarchitectural Technique to Reduce Inductive Noise in Supply Voltage. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Yehia Massoud, Steve S. Majors, Jamil Kawa, Tareq Bustami, Don MacMillen, Jacob K. White 0001 Managing on-chip inductive effects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Karel Hajek, Z. Sedlacek, B. Sviezeny New circuits for realization of the 1st and 2nd order all-pass LC filters with a better technological feasibility. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Yehea I. Ismail, Eby G. Friedman, José Luis Neves Repeater insertion in tree structured inductive interconnect. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Yehea I. Ismail, Eby G. Friedman Repeater insertion in RLC lines for minimum propagation delay. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Reiji Suda, Ryotaro Kamikawai, Yasuo Wada, Willy Hioe, Mutsumi Hosoya, Eiichi Goto QFP wiring problem-introduction and analytical considerations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Mark A. Johnson, Paul Cote, Krystyna Truszkowska Determining the electrical gradients of electromagnetic launchers using the transmission line model. Search on Bibsonomy SpringSim (3) The full citation details ... 2007 DBLP  BibTeX  RDF inductance gradient, railgun, resistance gradient, transmission line
29Yehia Massoud, Arthur Nieuwoudt Modeling and design challenges and solutions for carbon nanotube-based interconnect in future high performance integrated circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanotube bundle, interconnect, inductance, Carbon nanotube, resistance
29Lakshmi Kalpana Vakati, Janet Meiling Wang A new multi-ramp driver model with RLC interconnect load. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inductance criteria, multi-ramp driver model, transmission line effects, interconnect modeling, effective capacitance
29José R. Sendra, Javier del Pino, Antonio Hernández, Javier Hernández, Jaime Aguilera, Andrés Garcia-Alonso, Antonio Núñez Integrated Inductors Modeling and Tools for Automatic Selection and Layout Generation. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parasitic effects, Eddy currents, magnetic flux, models, Integrated, inductance, resistance, spiral
29Yehea I. Ismail, Eby G. Friedman, José Luis Neves Dynamic and Short-Circuit Power of CMOS Gates Driving Lossless Transmission Lines. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Lossless Transmission Lines, VLSI, Dynamic, Power, CMOS, Inductance, Short-circuit
27Xiaopeng Ji, Long Ge, Xiaodong Han, Zhiquan Wang Wire-Sizing for Interconnect Performance Optimization Considering High Inductance Effects. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Abinash Roy, Noha H. Mahmoud, Masud H. Chowdhury Delay and Clock Skew Variation due to Coupling Capacitance and Inductance. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Abinash Roy, Noha H. Mahmoud, Masud H. Chowdhury Effects of Coupling Capacitance and Inductance on Delay Uncertainty and Clock Skew. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Rafael Escovar, Salvador Ortiz 0002, Roberto Suaya Mutual inductance between intentional inductors: closed form expressions. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
27Denis Deschacht, Alain Lopez Performances of Coupled Interconnect Lines: The Impact of Inductance and Routing Orientation. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chieki Mizuta, Jiro Iwai, Ken Machida, Tetsuro Kage, Hiroo Masuda Large-scale linear circuit simulation with an inversed inductance matrix. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27M. A. Azadpour, T. S. Kalkur A clock interconnect extractor for multigigahertz frequencies incorporating inductance effect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Xiaoyan Wang, Pietro Andreani Impact of mutual inductance and parasitic capacitance on the phase-error performance of CMOS quadrature VCOs. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Yehia Massoud, Jacob White 0001 FastMag: a 3-D magnetostatic inductance extraction program for structures with permeable materials. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Shuzhou Fang, Zeyi Wang, Xianlong Hong A 3-D Minimum-Order Boundary Integral Equation Technique to Extract Frequency-Dependant Inductance and Resistance in ULSI. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Chin Hsia, Ming-Hong Lai, Wu-Shiung Feng On-board effective inductance measurement. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Chung Kei Thomas Chan, Christofer Toumazou Design of a class E power amplifier with non-linear transistor output capacitance and finite DC-feed inductance. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Renatas Jakushokas, Eby G. Friedman Line width optimization for interdigitated power/ground networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interdigitated structure, optimal line width, power/ground network, power network
21Jitesh Jain, Hong Li, Cheng-Kok Koh, Venkataramanan Balakrishnan A fast band matching technique for impedance extraction. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Emre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin Equivalent rise time for resonance in power/ground noise estimation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Emre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin Dominant Substrate Noise Coupling Mechanism for Multiple Switching Gates. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF signal integrity, mixed-signal circuits, Substrate coupling
21Daniel A. Andersson, Simon Kristiansson, Lars J. Svensson, Per Larsson-Edefors, Kjell O. Jeppson Noise Interaction Between Power Distribution Grids and Substrate. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power supply, Substrate noise
21Saihua Lin, Huazhong Yang, Rong Luo A Novel gamma d/n, RLCG Transmission Line Model Considering Complex RC(L) Loads. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21J. V. R. Ravindra, Srinivas Bala Mandalika Modeling and analysis of crosstalk for distributed RLC interconnects using difference model approach. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RC, distributed RLC, interconnect, SPICE, circuit, RL
21Dominic DiClemente, Fei Yuan 0005 Current-Mode Phase-Locked Loops with Low Supply Voltage Sensitivity. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21You Zheng, Carlos E. Saavedra A Microwave OTA Using a Feedforward-Regulated Cascode Topology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling
21Guoqing Chen, Eby G. Friedman Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Junmou Zhang, Eby G. Friedman Crosstalk modeling for coupled RLC interconnects with application to shield insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Shang-Wei Tu, Yao-Wen Chang, Jing-Yang Jou RLC Coupling-Aware Simulation and On-Chip Bus Encoding for Delay Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mengsheng Zhang, Wenjian Yu, Yu Du, Zeyi Wang An efficient algorithm for 3-D reluctance extraction considering high frequency effect. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Dongmin Park, SeongHwan Cho A power-optimized CMOS LC VCO with wide tuning range in 0.5-V supply. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Michael Sotman, Avinoam Kolodny, Mikhail Popovich, Eby G. Friedman On-die decoupling capacitance: frequency domain analysis of activity radius. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mohamed Abdalla, George V. Eleftheriades, Khoman Phang A differential 0.13µm CMOS active inductor for high-frequency phase shifters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Changhao Yan, Wenjian Yu, Zeyi Wang A Mixed Boundary Element Method for Extracting Frequency- Inductances of 3D Interconnects. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rong Jiang 0002, Wenyin Fu, Charlie Chung-Ping Chen EPEEC: comprehensive SPICE-compatible reluctance extraction for high-speed interconnects above lossy multilayer substrates. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chirayu S. Amin, Masud H. Chowdhury, Yehea I. Ismail Realizable reduction of interconnect circuits including self and mutual inductances. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Brock J. LaMeres, Sunil P. Khatri Encoding-Based Minimization of Inductive Cross-Talk for Off-Chip Data Transmission. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Lucas Andrew Milner, Gabriel A. Rincón-Mora A novel predictive inductor multiplier for integrated circuit DC-DC converters in portable applications. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF active ripple filters, inductor multipliers, integrated inductors, power management
21Brock J. LaMeres, Sunil P. Khatri Broadband Impedance Matching for Inductive Interconnect in VLSI Packages. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Guoqing Chen, Eby G. Friedman Low power repeaters driving RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hao Yu 0001, Lei He 0001 A sparsified vector potential equivalent circuit model for massively coupled interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Rafael Escovar, Roberto Suaya Optimal design of clock trees for multigigahertz applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent waveform propagation for static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Dipak Sitaram, Yu Zheng, Kenneth L. Shepard Full-chip, three-dimensional shapes-based RLC extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Guoan Zhong, Cheng-Kok Koh, Kaushik Roy 0001 On-chip interconnect modeling by wire duplication. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Gregorio Cappuccino Operating Region Modelling of Deep-submicron CMOS Buffers Driving Global Scope Inductive Interconnects. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent Waveform Propagation for Static Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Aleksandar Tasic, Wouter A. Serdijn, John R. Long Concept of transformer-feedback degeneration of low-noise amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Andrey V. Mezhiba, Eby G. Friedman Electrical characteristics of multi-layer power distribution grids. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Byunghoo Jung, Anand Gopinath, Ramesh Harjani A novel noise optimization design technique for radio frequency low noise amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Aleksandar Tasic, Wouter A. Serdijn, John R. Long Matching of low-noise amplifiers at high frequencies. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Hui Zheng, Lawrence T. Pileggi, Michael W. Beattie, Byron Krauter Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Guoan Zhong, Cheng-Kok Koh, Kaushik Roy 0001 On-chip interconnect modeling by wire duplication. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Hui Zheng, Lawrence T. Pileggi Robust and passive model order reduction for circuits containing susceptance elements. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Andrey V. Mezhiba, Eby G. Friedman Scaling trends of on-chip Power distribution noise. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF technology scaling, power supply noise, power distribution
21Guoan Zhong, Cheng-Kok Koh Exact Closed Form Formula for Partial Mutual Inductances of On-Chip Interconnects. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Phillip J. Restle, Albert E. Ruehli, Steven G. Walker, George Papadopoulos Full-wave PEEC time-domain method for the modeling of on-chipinterconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Edgar F. M. Albuquerque, Manuel M. Silva Evaluation of substrate noise in CMOS and low-noise logic cells. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Apisak Worapishet, Suttipong Ninyawee Magnetically-coupled tuneable inductor for wide-band variable frequency oscillators. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Kenneth L. Shepard, Zhong Tian Return-limited inductances: a practical approach to on-chipinductance extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Mehdi M. Mechaik Electrical Characterization of Signal Routability and Performance. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Shiyou Zhao, Kaushik Roy 0001 Estimation of Switching Noise on Power Supply Lines in Deep Sub-micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF switching noise, Ldi/dt noise, maximum switching current, IR voltage drop
21Arani Sinha, Sandeep K. Gupta 0001, Melvin A. Breuer Validation and test generation for oscillatory noise in VLSI interconnects. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Michael W. Beattie, Lawrence T. Pileggi Electromagnetic parasitic extraction via a multipole method with hierarchical refinement. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21N. S. Nagaraj, Kenneth L. Shepard, Takahide Inone Taming Noise in Deep Submicron Digital Integrated Circuits (Panel). Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Andrew B. Kahng, Sudhakar Muddu An analytical delay model for RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Taoyun Wang, Joseph R. Mautz, Roger F. Harrington The excess capacitance of a microstrip via in a dielectric substrate. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Taehoon Kim, Dongchul Kim, Jung-A Lee, Yungseon Eo Compact Models for Signal Transient and Crosstalk Noise of Coupled RLC Interconnect Lines with Ramp Inputs. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inductance effect, signal transient, crosstalk, transmission lines
19Azad Naeemi, James D. Meindl Carbon nanotube interconnects. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quantum wires, crosstalk, inductance, repeaters, molecular electronics, system analysis and design, system optimization
19Hong He, Shi-jiu Jin, Dajian Zhang, Hui Meng, Xian-wei Zhu, Lu Tang Study of Suppression Techniques for Harmonic Current. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF harmonic current, suppression techniques, insertion loss, effective magnetic permeability, inductance, inductor
19Aishwarya Dubey P/G Pad Placement Optimization: Problem Forumulation for Best IR Drop. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF P/G (Power/Ground) pad placement, current sink, package resistance, package inductance, IR drop
19Kevin M. Lepak, Min Xu, Jun Chen 0008, Lei He 0001 Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI physical design automation and on-chip inductance, net ordering, noise minimization, signal integrity, shielding
19Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate
19Xiaoning Qi, Goetz Leonhardt, Daniel Flees, Xiaodong Yang, Sangwoo Kim, Stephan Mueller, Hendrik T. Mau, Lawrence T. Pileggi A fast simulation approach for inductive effects of VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF inductance, circuit simulation, VLSI interconnects
19Guoan Zhong, Cheng-Kok Koh, Venkataramanan Balakrishnan, Kaushik Roy 0001 An adaptive window-based susceptance extraction and its efficient implementation. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect, inductance, susceptance
19Seung Hoon Choi, Kaushik Roy 0001 Noise Analysis under Capacitive and Inductive Coupling for High Speed Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Crosstalk, Inductance, Capacitance, Noise Analysis, Noise Margin, High Speed Circuit
19Seung Hoon Choi, Bipul Chandra Paul, Kaushik Roy 0001 Dynamic Noise Analysis with Capacitive and Inductive Coupling. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitiance, dynamic noise margin, crosstalk, inductance, noise analysis, deep submicron, noise model
19Brian W. Amick, Claude R. Gauthier, Dean Liu Macro-modeling concepts for the chip electrical interface. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI power distribution, analog and I/O power delivery, high speed microprocessor design, inductance
19Phillip J. Restle, Albert E. Ruehli, Steven G. Walker Multi-GHz interconnect effects in microprocessors. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF full-wave analysis, simulation, interconnect, inductance, extraction, clock distribution, circuit-tuning
19James D. Z. Ma, Lei He 0001 Simultaneous signal and power routing under K model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF net ordering, on-chip inductance, shield insertion, interconnect estimation, interconnect design
19Nathan Kalyanasundharam, Nital Patwa Simultaneous Switching Noise Considerations in the Design of a High Speed, Multiported TLB of a Server-Class Microprocessor. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiported, supply inductance, TLB, simultaneous switching noise, decoupling capacitance
19Jason Cong, Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RATS trees, Steiner routings, bounded-radius Steiner trees, higher-order RLC model, incremental moment computation algorithm, interconnect layout optimization, nonmonotone signal response, required-arrival-time Steiner trees, resistance-inductance-capacitance circuits, routing area, routing cost, routing topologies, shortest-path Steiner trees, signal delay, signal settling time, voltage overshoot, waveform optimization, waveform quality evaluation, wire-sizing optimization, circuit optimisation, topology optimization, delay optimization
19Cheng-Ping Wang, Chin-Long Wey Test Generation Of Analog Switched-Current Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switched current circuits, analog switched-current circuits, current switches, voltage switches, noncatastrophic faults, transistor switches, full testability, current copiers, stray inductance, CMOS switch, BIST design, fault model, circuit simulation, macromodel, switched-capacitor circuits, test sequence generation, catastrophic faults
19Kenneth L. Shepard, Vinod Narayanan Noise in deep submicron digital design. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF static analysis, noise, crosstalk, inductance, CMOS circuits, noise margins
16Junming Zeng, Jiayang Wu, Kerui Li, Yun Yang 0002, Shu Yuen Ron Hui Dynamic Monitoring of Battery Variables and Mutual Inductance for Primary-Side Control of a Wireless Charging System. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 889 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license