The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manycore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2008 (54) 2009 (24) 2010 (44) 2011 (35) 2012 (83) 2013 (52) 2014 (104) 2015 (66) 2016 (51) 2017 (65) 2018 (75) 2019 (52) 2020 (38) 2021 (39) 2022 (23) 2023 (23) 2024 (7)
Publication types (Num. hits)
article(210) book(2) incollection(7) inproceedings(559) phdthesis(51) proceedings(6)
Venues (Conferences, Journals, ...)
MCSoC(81) CoRR(41) IFMT(23) DATE(17) IPDPS(15) PARMA-DITAM@HiPEAC(12) DAC(11) J. Supercomput.(11) Euro-Par(10) RACES@SPLASH(10) ASP-DAC(9) ICCAD(9) ICCS(9) Concurr. Comput. Pract. Exp.(8) HPCS(8) ICPP(8) More (+10 of total 285)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 140 occurrences of 102 keywords

Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Harsh Sharma, Sumit K. Mandal, Janardhan Rao Doppa, Ümit Y. Ogras, Partha Pratim Pande SWAP: A Server-Scale Communication-Aware Chiplet-Based Manycore PIM Accelerator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Lin Cheng, Peitian Pan, Zhongyuan Zhao 0004, Krithik Ranjan, Jack Weber, Bandhav Veluri, Seyed Borna Ehsani, Max Ruttenberg, Dai Cheol Jung, Preslav Ivanov, Dustin Richmond, Michael B. Taylor, Zhiru Zhang, Christopher Batten A Tensor Processing Framework for CPU-Manycore Heterogeneous Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Dwaipayan Choudhury, Aravind Sukumaran-Rajam, Ananth Kalyanaraman, Partha Pratim Pande High-Performance and Energy-Efficient 3D Manycore GPU Architecture for Accelerating Graph Analytics. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yuankun Fu, Fengguang Song Designing a 3D Parallel Memory-Aware Lattice Boltzmann Algorithm on Manycore Systems. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Volker Wenzel, Lars Bauer, Wolfgang Schröder-Preikschat, Jörg Henkel Agent-based Constraint Solving for Resource Allocation in Manycore Systems. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Shervin Hajiamini, Behrooz A. Shirazi, Hongbo Dong 0001 A Fast Heuristic for Improving the Energy Efficiency of Asymmetric VFI-Based Manycore Systems. Search on Bibsonomy IEEE Trans. Sustain. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Cédric Killian Energy efficiency, fault tolerance, and emerging on-chip interconnects for manycore architectures. Search on Bibsonomy 2022   RDF
16Masashi Horikoshi, Balazs Gerofi, Yutaka Ishikawa, Kengo Nakajima Exploring Communication-Computation Overlap in Parallel Iterative Solvers on Manycore CPUs using Asynchronous Progress Control. Search on Bibsonomy HPC Asia Workshops The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Christoph Kühbacher, Theo Ungerer, Sebastian Altmeyer Redundant dataflow applications on clustered manycore architectures. Search on Bibsonomy SAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ke Wang 0030, Hao Zheng 0005, Yuan Li 0029, Jiajun Li, Ahmed Louri AGAPE: Anomaly Detection with Generative Adversarial Network for Improved Performance, Energy, and Security in Manycore Systems. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Erdem Derebasoglu, Ismail Kadayif, Ozcan Ozturk 0001 Coherency Traffic Reduction in Manycore Systems. Search on Bibsonomy DSD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ahmed Kamaleldin, Diana Göhringer A Hybrid Memory/Accelerator Tile Architecture for FPGA-based RISC-V Manycore Systems. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Partha Pratim Pande EDAML 2022 Invited Speaker 6: Reliable Processing-in-Memory based Manycore Architectures for Deep Learning: From CNNs to GNNs. Search on Bibsonomy IPDPS Workshops The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Chengeng Li, Fan Jiang, Shixi Chen, Jiaxu Zhang, Yinyi Liu, Yuxiang Fu, Jiang Xu 0001 Accelerating Cache Coherence in Manycore Processor through Silicon Photonic Chiplet. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Daichi Mukunoki, Katsuhisa Ozaki, Takeshi Ogita, Toshiyuki Imamura Infinite-Precision Inner Product and Sparse Matrix-Vector Multiplication Using Ozaki Scheme with Dot2 on Manycore Processors. Search on Bibsonomy PPAM (1) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty NoC-enabled 3D Heterogeneous Manycore Systems for Big-Data Applications. Search on Bibsonomy ISQED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Marcelo Orenes-Vera, Aninda Manocha, Jonathan Balkind, Fei Gao 0016, Juan L. Aragón, David Wentzlaff, Margaret Martonosi Tiny but mighty: designing and realizing scalable latency tolerance for manycore SoCs. Search on Bibsonomy ISCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Rohit Prasad Integrated Programmable-Array accelerator to design heterogeneous ultra-low power manycore architectures. (Accélérateurs programmables intégrés dynamiquement reconfigurables pour la conception d'architectures manycores ultra-basse consommation). (PDF / PS) Search on Bibsonomy 2022   RDF
16Eliza Wszola Machine Learning on Manycore CPUs. Search on Bibsonomy 2022   RDF
16Hoon Ryu, Seungmin Lee Cost-efficient simulations of large-scale electronic structures in the standalone manycore architecture. Search on Bibsonomy Comput. Phys. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Kamil Halbiniak, Tomasz Olas, Lukasz Szustak, Adam Kulawik, Marco Lapegna Dynamic workload prediction and distribution in numerical modeling of solidification on multi-/manycore architectures. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Steve Kommrusch, Marcos Horro, Louis-Noël Pouchet, Gabriel Rodríguez 0001, Juan Touriño Optimizing Coherence Traffic in Manycore Processors Using Closed-Form Caching/Home Agent Mappings. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16June-Hyung Kim, Youngjae Kim 0001, Safdar Jamil, Chang-Gyu Lee, Sungyong Park Parallelizing Shared File I/O Operations of NVM File System for Manycore Servers. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Akshay Srivatsa, Mostafa Mansour, Sven Rheindt, Dirk Gabriel, Thomas Wild, Andreas Herkersdorf DynaCo: Dynamic Coherence Management for Tiled Manycore Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Vijeta Rathore, Vivek Chaturvedi, Amit Kumar Singh 0002, Thambipillai Srikanthan, Muhammad Shafique 0001 Longevity Framework: Leveraging Online Integrated Aging-Aware Hierarchical Mapping and VF-Selection for Lifetime Reliability Optimization in Manycore Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Mary Lai O. Salvaña, Sameh Abdulah, Huang Huang, Hatem Ltaief, Ying Sun 0002, Marc G. Genton, David E. Keyes High Performance Multivariate Geospatial Statistics on Manycore Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Akshay Srivatsa, Nael Fasfous, Nguyen Anh Vu Doan, Sebastian Nagel 0004, Thomas Wild, Andreas Herkersdorf Exploring a Hybrid Voting-based Eviction Policy for Caches and Sparse Directories on Manycore Architectures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Biresh Kumar Joardar, Janardhan Rao Doppa, Hai Li 0001, Krishnendu Chakrabarty, Partha Pratim Pande Learning to Train CNNs on Faulty ReRAM-based Manycore Accelerators. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Muhammad Rehan Yahya, Ning Wu, Zain Anwar Ali, Yasir Khizar Optical Versus Electrical: Performance Evaluation of Network On-Chip Topologies for UWASN Manycore Processors. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Anwesha Chatterjee, Shouvik Musavvir, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande Power Management of Monolithic 3D Manycore Chips with Inter-tier Process Variations. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Morteza Hosseini, Tinoosh Mohsenin Binary Precision Neural Network Manycore Accelerator. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Aqeeb Iqbal Arka, Biresh Kumar Joardar, Ryan Gary Kim, Dae Hyun Kim 0004, Janardhan Rao Doppa, Partha Pratim Pande HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Damodar Sahasrabudhe, Rohit Zambre, Aparna Chandramowlishwaran, Martin Berzins Optimizing the hypre solver for manycore and GPU architectures. Search on Bibsonomy J. Comput. Sci. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yuan Li 0029, Ahmed Louri ALPHA: A Learning-Enabled High-Performance Network-on-Chip Router Design for Heterogeneous Manycore Architectures. Search on Bibsonomy IEEE Trans. Sustain. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Sai Manoj Pudukotai Dinakarrao, Hantao Huang, Hao Yu 0001 Energy-Efficient and Error-Resilient Cognitive I/O for 3-D-Integrated Manycore Microprocessors. Search on Bibsonomy IEEE Des. Test The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Bruno Almeida da Silva, Arthur Mendes Lima, Janier Arias-Garcia, Michael Hübner 0001, Jones Yudi Mori A Manycore Vision Processor for Real-Time Smart Cameras. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Philip Bedoukian, Neil Adit, Edwin Peguero, Adrian Sampson Software-Defined Vector Processing on Manycore Fabrics. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Hao Zheng 0005, Ke Wang 0030, Ahmed Louri Adapt-NoC: A Flexible Network-on-Chip Design for Heterogeneous Manycore Architectures. Search on Bibsonomy HPCA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Safdar Jamil, Awais Khan 0002, Bernd Burastaller, Youngjae Kim 0001 Towards Scalable Manycore-Aware Persistent B+- Trees for Efficient Indexing in Cloud Environments. Search on Bibsonomy ACSOS-C The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Luk Burchard, Johannes Moe, Daniel Thilo Schroeder, Konstantin Pogorelov, Johannes Langguth iPUG: Accelerating Breadth-First Graph Traversals Using Manycore Graphcore IPUs. Search on Bibsonomy ISC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yuankun Fu, Fengguang Song Designing a 3D Parallel Memory-Aware Lattice Boltzmann Algorithm on Manycore Systems. Search on Bibsonomy Euro-Par The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Geaninne Lopes, Iaçanã I. Weber, César A. M. Marcon, Fernando Gehm Moraes Chronos: An Abstract NoC-based Manycore with Preserved Temporal and Spatial Traffic Distribution. Search on Bibsonomy LASCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Barry Williams, Ali Eker, Kenneth Chiu, Dmitry Ponomarev 0001 High-Performance PDES on Manycore Clusters. Search on Bibsonomy SIGSIM-PADS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Jiwoo Bang, Chungyong Kim, Sunggon Kim, Qichen Chen, Cheongjun Lee, Eun-Kyu Byun, Jaehwan Lee 0001, Hyeonsang Eom Finer-LRU: A Scalable Page Management Scheme for HPC Manycore Architectures. Search on Bibsonomy IPDPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Kengo Nakajima, Takeshi Ogita, Masatoshi Kawai Efficient Parallel Multigrid Methods on Manycore Clusters with Double/Single Precision Computing. Search on Bibsonomy IPDPS Workshops The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Soon Hwang, Chang-Gyu Lee, Youngjae Kim 0001 Enabling manycore scalability in F2FS metadata for unlink() operation. Search on Bibsonomy SYSTOR The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Bernard Goossens, Kenelm Louetsi, David Parello Deterministic OpenMP and the LBP Parallelizing Manycore Processor. Search on Bibsonomy PaCT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Aqeeb Iqbal Arka, Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty DARe: DropLayer-Aware Manycore ReRAM architecture for Training Graph Neural Networks. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Biresh Kumar Joardar, Aqeeb Iqbal Arka, Janardhan Rao Doppa, Partha Pratim Pande, Hai Li 0001, Krishnendu Chakrabarty Heterogeneous Manycore Architectures Enabled by Processing-in-Memory for Deep Learning: From CNNs to GNNs: (ICCAD Special Session Paper). Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Benoît Dupont de Dinechin Engineering a Manycore Processor for Edge Computing. Search on Bibsonomy MECO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yvain Thonnart Designing a Multi-Chiplet Manycore System using the POPSTAR Optical NoC Architecture (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Abdullah Alperen, Md. Afibuzzaman, Fazlay Rabbi, M. Yusuf Özkaya, Ümit V. Çatalyürek, Hasan Metin Aktulga An Evaluation of Task-Parallel Frameworks for Sparse Solvers on Multicore and Manycore CPU Architectures. Search on Bibsonomy ICPP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Junjie Wang, Min Tian, Shan Qiao swMETIS: A high performance graph partitioning library on Sunway manycore architecture. Search on Bibsonomy ICEA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Emily Furst Code Generation and Optimization of Graph Programs on a Manycore Architecture. Search on Bibsonomy 2021   RDF
16Cristian Urlea Optimal program variant generation for hybrid manycore systems. Search on Bibsonomy 2021   RDF
16Paul Beziau Rééquilibrage de charge dans les solveurs hiérarchiques pour machines massivement parallèles. (Load balancing in hierarchical solvers on manycore architectures). Search on Bibsonomy 2021   RDF
16Chawki Benchehida Mapping Hard Real-Time Tasks on Network-on-Chip Manycore Architectures. (Placement des tâches temps-réel dur sur des multi-coeurs en réseau-sur-puce (NoC)). Search on Bibsonomy 2021   RDF
16Alessandro Cilardo, Mirko Gagliardi, Daniele Passaretti Hardware support for thread synchronisation in an experimental manycore system. Search on Bibsonomy Int. J. Grid Util. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Frederico Luís Cabral, Sanderson L. Gonzaga de Oliveira, Carla Osthoff, Gabriel P. Costa, Diego N. Brandão, Mauricio Kischinhevsky An evaluation of MPI and OpenMP paradigms in finite-difference explicit methods for PDEs on shared-memory multi- and manycore systems. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yuedan Chen, Guoqing Xiao 0001, Fan Wu, Zhuo Tang, Keqin Li 0001 tpSpMV: A two-phase large-scale sparse matrix-vector multiplication kernel for manycore architectures. Search on Bibsonomy Inf. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Haoran Li 0002, Zhongyuan Tian, Jiang Xu 0001, Rafael K. V. Maeda, Zhehui Wang, Zhifei Wang Chip-Specific Power Delivery and Consumption Co-Management for Process-Variation-Aware Manycore Systems Using Reinforcement Learning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Somnath Mazumdar, Alberto Scionti Ring-mesh: a scalable and high-performance approach for manycore accelerators. Search on Bibsonomy J. Supercomput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Maria Pantoja, Maxence Weyrich, Gerardo Fernández-Escribano Acceleration of MRI analysis using multicore and manycore paradigms. Search on Bibsonomy J. Supercomput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Giuliano Grossi, Pietro Paglierani, Federico Pedersini, Alessandro Petrini Enhanced multicore-manycore interaction in high-performance video encoding. Search on Bibsonomy J. Real Time Image Process. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mingzhen Li, Yi Liu 0013, Hailong Yang, Zhongzhi Luan, Lin Gan, Guangwen Yang, Depei Qian Accelerating Sparse Cholesky Factorization on Sunway Manycore Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Sertaç Karahoda, Osman Tufan Erenay, Kamer Kaya, Uraz Cengiz Türker, Hüsnü Yenigün Multicore and manycore parallelization of cheap synchronizing sequence heuristics. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Süleyman Savas, Zain Ul-Abdin, Tomas Nordström A framework to generate domain-specific manycore architectures from dataflow programs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Zhehui Wang, Zhifei Wang, Jiang Xu 0001, Yi-Shing Chang, Jun Feng 0008, Xuanqi Chen, Shixi Chen, Jiaxu Zhang CAMON: Low-Cost Silicon Photonic Chiplet for Manycore Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mengquan Li, Weichen Liu, Nan Guan, Yiyuan Xie, Yaoyao Ye Hardware-Software Collaborative Thermal Sensing in Optical Network-on-Chip-based Manycore Systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mary Lai O. Salvaña, Sameh Abdulah, Huang Huang, Hatem Ltaief, Ying Sun 0002, Marc G. Genton, David E. Keyes High Performance Multivariate Spatial Modeling for Geostatistical Data on Manycore Systems. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Steve Kommrusch, Marcos Horro, Louis-Noël Pouchet, Gabriel Rodríguez 0001, Juan Touriño Coherence Traffic in Manycore Processors with Opaque Distributed Directories. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Aqeeb Iqbal Arka, Biresh Kumar Joardar, Ryan Gary Kim, Dae Hyun Kim 0004, Janardhan Rao Doppa, Partha Pratim Pande HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Xiqian Wang, Jiajin Xi, Yinghao Wang, Paul Bogdan, Shahin Nazarian Efficient Task Mapping for Manycore Systems. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Sudeep Pasricha, Mahdi Nikdast A Survey of Silicon Photonics for Energy-Efficient Manycore Computing. Search on Bibsonomy IEEE Des. Test The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Evaldo Bezerra Costa, Gabriel Pereira Silva, Marcello Goulart Teixeira An Approach to Parallel Algorithms for Long DNA Sequences Alignment on Manycore Architecture. Search on Bibsonomy J. Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Keitaro Oka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Koji Inoue Enhancing a manycore-oriented compressed cache for GPGPU. Search on Bibsonomy HPC Asia The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yanghui Ou, Shady Agwa, Christopher Batten Implementing Low-Diameter On-Chip Networks for Manycore Processors Using a Tiled Physical Design Methodology. Search on Bibsonomy NOCS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16June-Hyung Kim, Youngjae Kim 0001, Safdar Jamil, Sungyong Park A NUMA-aware NVM File System Design for Manycore Server Applications. Search on Bibsonomy MASCOTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Shixi Chen, Jiang Xu 0001, Xuanqi Chen, Zhifei Wang, Jun Feng 0008, Jiaxu Zhang, Zhongyuan Tian, Xiao Li Efficient Optical Power Delivery System for Hybrid Electronic-Photonic Manycore Processors. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Shouvik Musavvir, Anwesha Chatterjee, Ryan Gary Kim, Dae Hyun Kim 0004, Janardhan Rao Doppa, Partha Pratim Pande Power, Performance, and Thermal Trade-offs in M3D-enabled Manycore Chips. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Tao Fang, Junshi Chen, Mingfan Li, Ziyu Zhang, Hong An, Wenting Han Optimizing Astrophysical Simulation Software on Sunway Heterogeneous Manycore Architecture. Search on Bibsonomy HPCC/DSS/SmartCity The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Bruno Almeida da Silva, Arthur Mendes Lima, Jones Yudi Mori A manycore vision processor architecture for embedded applications. Search on Bibsonomy SBESC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Jovan Blanusa, Radu Stoica, Paolo Ienne, Kubilay Atasu Parallelizing Maximal Clique Enumeration on Modern Manycore Processors. Search on Bibsonomy IPDPS Workshops The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Damodar Sahasrabudhe, Martin Berzins Improving Performance of the Hypre Iterative Solver for Uintah Combustion Codes on Manycore Architectures Using MPI Endpoints and Kernel Consolidation. Search on Bibsonomy ICCS (1) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Krzysztof Kurowski, Milosz Ciznicki, Jan Weglarz Energy efficiency and performance modeling of stencil applications on manycore and GPU computing resources. Search on Bibsonomy CCGRID The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Xiqian Wang, Jiajin Xi, Yinghao Wang, Paul Bogdan, Shahin Nazarian An Efficient Task Mapping for Manycore Systems. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Seung-Jun Cha, Seung-Hyub Jeon, Ramneek, Yeon Jeong Jeong, Jin-Mee Kim, Sungin Jung Network Servers for Multikernel OS on Manycore Systems. Search on Bibsonomy ICOIN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Hirenkumar Paneliya, Morteza Hosseini, Avesta Sasan, Houman Homayoun, Tinoosh Mohsenin CSCMAC - Cyclic Sparsely Connected Neural Network Manycore Accelerator. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ryan Gary Kim Learning-Enabled NoC Design for Heterogeneous Manycore Systems. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Chris Yakopcic, Nayim Rahman, Tanvir Atahary, Tarek M. Taha, Scott Douglass Leveraging the Manycore Architecture of the Loihi Spiking Processor to Perform Quasi-Complete Constraint Satisfaction. Search on Bibsonomy IJCNN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ichitaro Yamazaki, Sivasankaran Rajamanickam, Nathan D. Ellingwood Performance Portable Supernode-based Sparse Triangular Solver for Manycore Architectures. Search on Bibsonomy ICPP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mitsuhisa Sato, Yutaka Ishikawa, Hirofumi Tomita, Yuetsu Kodama, Tetsuya Odajima, Miwako Tsuji, Hisashi Yashiro, Masaki Aoki, Naoyuki Shida, Ikuo Miyoshi, Kouichi Hirai, Atsushi Furuya, Akira Asato, Kuniki Morita, Toshiyuki Shimizu Co-design for A64FX manycore processor and "Fugaku". Search on Bibsonomy SC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Neil A. Butcher, Stephen L. Olivier, Peter M. Kogge Cache Oblivious Strategies to Exploit Multi-Level Memory on Manycore Systems. Search on Bibsonomy MCHPC@SC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Hao Zheng 0005, Ke Wang 0030, Ahmed Louri A Versatile and Flexible Chiplet-based System Design for Heterogeneous Manycore Architectures. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Vijeta Rathore Scalable techniques for extending lifetime reliability of manycore systems Search on Bibsonomy 2020   DOI  RDF
16Ioan Hadade, Feng Wang 0021, Mauro Carnevale, Luca di Mare Some useful optimisations for unstructured computational fluid dynamics codes on multicore and manycore architectures. Search on Bibsonomy Comput. Phys. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Dalal Sukkari, Hatem Ltaief, Aniello Esposito, David E. Keyes A QDWH-based SVD Software Framework on Distributed-memory Manycore Systems. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Alexandro Baldassin, Ying Weng, Daniel Carlos Guimarães Pedronette, Jurandy Almeida An optimized unsupervised manifold learning algorithm for manycore architectures. Search on Bibsonomy Inf. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Hector Rico-Garcia, José-Luis Sánchez-Romero, Antonio Jimeno-Morenilla, Héctor Migallón Gomis, Higinio Mora Mora, Ravipudi Venkata Rao Comparison of High Performance Parallel Implementations of TLBO and Jaya Optimization Methods on Manycore GPU. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Maurice S. Fabien, Matthew G. Knepley, Richard Tran Mills, Beatrice M. Riviere Manycore Parallel Computing for a Hybridizable Discontinuous Galerkin Nested Multigrid Method. Search on Bibsonomy SIAM J. Sci. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 835 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license