The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for netlist with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (26) 1991-1993 (19) 1994-1995 (21) 1996-1997 (35) 1998 (15) 1999 (25) 2000 (27) 2001 (17) 2002 (30) 2003 (46) 2004 (40) 2005 (30) 2006 (43) 2007 (34) 2008 (29) 2009 (22) 2010-2012 (17) 2013-2015 (16) 2016-2018 (19) 2019-2020 (22) 2021-2022 (27) 2023 (19) 2024 (4)
Publication types (Num. hits)
article(166) inproceedings(416) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 437 occurrences of 290 keywords

Results
Found 583 publication records. Showing 583 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Erwei Wang, James J. Davis 0001, Georgios-Ilias Stavrou, Peter Y. K. Cheung, George A. Constantinides, Mohamed S. Abdelfattah Logic Shrinkage: Learned FPGA Netlist Sparsity for Efficient Neural Network Inference. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
21Katie Liszewski, Tim McDonley, Josh Delozier, Andrew Elliott, Dylan Jones, Matthew Sutter, Adam G. Kimura Netlist Decompilation Workflow for Recovered Design Verification, Validation, and Assurance. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
21Mahamuda Sultana, Ayan Chaudhuri, Diganta Sengupta, Debashis De, Atal Chaudhuri Design of synchronous decimal counter using reversible Toffoli-Fredkin Netlist. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Hao Chen 0059, Mingjie Liu, Biying Xu, Keren Zhu 0001, Xiyuan Tang, Shaolan Li, Yibo Lin, Nan Sun 0001, David Z. Pan MAGICAL: An Open- Source Fully Automated Analog IC Layout System from Netlist to GDSII. Search on Bibsonomy IEEE Des. Test The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Daeyeon Kim, Hyun-jeong Kwon, Sung-Yun Lee, Seungwon Kim, Mingyu Woo, Seokhyeong Kang Machine Learning Framework for Early Routability Prediction with Artificial Netlist Generator. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Ali Asghar, Benjamin Hettwer, Emil Karimov, Daniel Ziener Increasing Side-Channel Resistance by Netlist Randomization and FPGA-Based Reconfiguration. Search on Bibsonomy ARC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Motoki Amagasaki, Hiroki Oyama, Yuichiro Fujishiro, Masahiro Iida, Hiroaki Yasuda, Hiroto Ito R-GCN Based Function Inference for Gate-level Netlist. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Grace Li Zhang, Bing Li 0005, Meng Li 0004, Bei Yu 0001, David Z. Pan, Michaela Brunner, Georg Sigl, Ulf Schlichtmann TimingCamouflage+: Netlist Security Enhancement With Unconventional Timing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Aysa Fakheri Tabrizi, Nima Karimpour Darav, Logan Rakai, Ismail Bustany, Andrew A. Kennings, Laleh Behjat Eh?Predictor: A Deep Learning Framework to Identify Detailed Routing Short Violations From a Placed Netlist. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Grace Li Zhang, Bing Li 0005, Meng Li 0004, Bei Yu 0001, David Z. Pan, Michaela Brunner, Georg Sigl, Ulf Schlichtmann TimingCamouflage+: Netlist Security Enhancement with Unconventional Timing (with Appendix). Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
21Ahmet Turan Erozan, Michael Hefenbrock, Michael Beigl, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori Reverse Engineering of Printed Electronics Circuits: From Imaging to Netlist Extraction. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Nils Albartus, Max Hoffmann 0001, Sebastian Temme, Leonid Azriel, Christof Paar DANA Universal Dataflow Analysis for Gate-Level Netlist Reverse Engineering. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Nils Albartus, Max Hoffmann 0001, Sebastian Temme, Leonid Azriel, Christof Paar DANA - Universal Dataflow Analysis for Gate-Level Netlist Reverse Engineering. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2020 DBLP  BibTeX  RDF
21Emmanouil Kalligeros, Nikolaos Karousos, Irene G. Karybali Oracle-based Logic Locking Attacks: Protect the Oracle Not Only the Netlist. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Kishor Kunal, Tonmoy Dhar, Meghna Madhusudan, Jitesh Poojary, Arvind K. Sharma, Wenbin Xu, Steven M. Burns, Jiang Hu, Ramesh Harjani, Sachin S. Sapatnekar GANA: Graph Convolutional Network Based Automated Netlist Annotation for Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Yipei Yang, Jing Ye 0001, Yuan Cao 0003, Jiliang Zhang 0002, Xiaowei Li 0001, Huawei Li 0001, Yu Hu 0001 Survey: Hardware Trojan Detection for Netlist. Search on Bibsonomy ATS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Apostolos Stefanidis, Dimitrios Mangiras, Chrysostomos Nicopoulos, David G. Chinnery, Giorgos Dimitrakopoulos Design Optimization by Fine-grained Interleaving of Local Netlist Transformations in Lagrangian Relaxation. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Dallin Skouson, Andrew M. Keller, Michael J. Wirthlin Netlist Analysis and Transformations Using SpyDrNet. Search on Bibsonomy SciPy The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Shotaro Yamada, Shuichi Ichikawa Netlist-based Measures for Hardware Obfuscation: A Preliminary Study. Search on Bibsonomy CANDAR (Workshops) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Rongliang Fu, Zhimin Zhang 0004, Guang-Ming Tang, Junying Huang, Xiaochun Ye, Dongrui Fan, Ninghui Sun Design Automation Methodology from RTL to Gate-level Netlist and Schematic for RSFQ Logic Circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Rachel Selina Rajarathnam, Yibo Lin, Yier Jin, David Z. Pan ReGDS: A Reverse Engineering Framework from GDSII to Gate-level Netlist. Search on Bibsonomy HOST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Georgios Zervakis 0001, Konstantina Koliogeorgi, Dimitrios Anagnostos, Nikolaos Zompakis, Kostas Siozios VADER: Voltage-Driven Netlist Pruning for Cross-Layer Approximate Arithmetic Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Sebastian Wallat, Nils Albartus, Steffen Becker 0003, Max Hoffmann 0001, Maik Ender, Marc Fyrbiak, Adrian Drees, Sebastian Maaßen, Christof Paar Highway to HAL: Open-Sourcing the First Extendable Gate-Level Netlist Reverse Engineering Framework. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
21Abhrajit Sengupta, Ozgur Sinanoglu CAS-Unlock: Unlocking CAS-Lock without Access to a Reverse-Engineered Netlist. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2019 DBLP  BibTeX  RDF
21Calebe Micael de Oliveira Conceição, Ricardo Augusto da Luz Reis Netlist Optimization by Gate Merging. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Chee Hoo Kok, Chia Yee Ooi, Michiko Inoue, Mehrdad Moghbel, Sreedharan Baskara Dass, Hau Sim Choo, Nordinah Ismail, Fawnizu Azmadi Hussin Net Classification Based on Testability and Netlist Structural Features for Hardware Trojan Detection. Search on Bibsonomy ATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Yonghwi Kwon 0002, Inhak Han, Youngsoo Shin Clock Gating Synthesis of Netlist with Cyclic Logic Paths. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Sebastian Wallat, Nils Albartus, Steffen Becker 0003, Max Hoffmann 0001, Maik Ender, Marc Fyrbiak, Adrian Drees, Sebastian Maaßen, Christof Paar Highway to HAL: open-sourcing the first extendable gate-level netlist reverse engineering framework. Search on Bibsonomy CF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Travis Meade, Kaveh Shamsi, Thao Le 0001, Jia Di, Shaojie Zhang, Yier Jin The Old Frontier of Reverse Engineering: Netlist Partitioning. Search on Bibsonomy J. Hardw. Syst. Secur. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Yusuke Kimura, Amir Masoud Gharehbaghi, Masahiro Fujita C Description Reconstruction Method from a Revised Netlist for ECO Support. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Thorben Casper, David Duque, Sebastian Schöps, Herbert De Gersem Automated Netlist Generation for 3D Electrothermal and Electromagnetic Field Problems. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
21Grace Li Zhang, Bing Li 0005, Ulf Schlichtmann Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security. Search on Bibsonomy ISVLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Yonghwi Kwon 0002, Jinwook Jung, Inhak Han, Youngsoo Shin Transient Clock Power Estimation of Pre-CTS Netlist. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Aysa Fakheri Tabrizi, Nima Karimpour Darav, Shuchang Xu, Logan Rakai, Ismail Bustany, Andrew A. Kennings, Laleh Behjat A machine learning framework to identify detailed routing short violations from a placed netlist. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Bernhard Schmidt, Daniel Ziener, Jürgen Teich, Christian Zöllner 0003 Optimizing scrubbing by netlist analysis for FPGA configuration bit classification and floorplanning. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Travis Meade, Shaojie Zhang, Yier Jin IP protection through gate-level netlist security enhancement. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Bernhard Schmidt, Daniel Ziener, Jürgen Teich, Christian Zöllner 0003 Optimizing Scrubbing by Netlist Analysis for FPGA Configuration Bit Classification and Floorplanning. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
21Hassan Salmani COTD: Reference-Free Hardware Trojan Detection and Recovery Based on Controllability and Observability in Gate-Level Netlist. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Xin Xie, Yangyang Sun, Hongda Chen 0004, Yong Ding 0003 Hardware Trojans classification based on controllability and observability in gate-level netlist. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Thao Le 0001, Jia Di Golden reference matching for gate-level netlist functionality identification. Search on Bibsonomy MWSCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Christoph Jäschke, Ulla Herter, Claudia Wolkober, Carsten Schmitt, Christian G. Zoellin Static netlist verification for IBM high-frequency processors using a tree-grammar. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Sharareh Zamanzadeh, Ali Jahanian 0001 ASIC design protection against reverse engineering during the fabrication process using automatic netlist obfuscation design flow. Search on Bibsonomy ISC Int. J. Inf. Secur. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Sharareh Zamanzadeh, Ali Jahanian 0001 Higher security of ASIC fabrication process against reverse engineering attack using automatic netlist encryption methodology. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Khouloud Bouaziz, Sonda Chtourou, Zied Marrakchi, Abdulfattah Mohammad Obeid, Mohamed Abid Rebuilding synthesized design hierarchy based on instances path names of flattened netlist. Search on Bibsonomy IDT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Jaya Dofe, Yuejun Zhang, Qiaoyan Yu DSD: A Dynamic State-Deflection Method for Gate-Level Netlist Obfuscation. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Travis Meade, Shaojie Zhang, Yier Jin Netlist reverse engineering for high-level functionality reconstruction. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Travis Meade, Yier Jin, Mark M. Tehranipoor, Shaojie Zhang Gate-level netlist reverse engineering for hardware security: Control logic register identification. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Konstantinos Maragos 0001, Kostas Siozios, Dimitrios Soudris An Evolutionary Algorithm for Netlist Partitioning Targeting 3-D FPGAs. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Christian Fibich, Peter Rössler, Stefan Tauner, Herbert Taucher, Martin Matschnig A netlist-level fault-injection tool for FPGAs. Search on Bibsonomy Elektrotech. Informationstechnik The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Giovanni Beltrame Triple Modular Redundancy verification via heuristic netlist analysis. Search on Bibsonomy PeerJ Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Dan Niu, Yasuaki Inoue, Zhou Jin 0001, Xiao Wu A netlist implementation of the Newton fixed-point homotopy method for MOS transistor circuits. Search on Bibsonomy MWSCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Spandana Rachamalla, Arun Joseph, Rahul M. Rao, Diwesh Pandey Virtual logic netlist: Enabling efficient RTL analysis. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Edward Tashjian, Azadeh Davoodi On using control signals for word-level identification in a gate-level netlist. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Jiazhao Xu, Mark Williams, Hari Mony, Jason Baumgartner Scalable reachability analysis via automated dynamic netlist-based hint generation. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Bernhard Schmidt, Daniel Ziener, Jürgen Teich An automatic netlist and floorplanning approach to improve the MTTR of scrubbing techniques (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Bernhard Schmidt, Daniel Ziener, Jürgen Teich Minimizing Scrubbing Effort through Automatic Netlist Partitioning and Floorplanning. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Tamir Heyman, Dan Smith, Yogesh Mahajan, Lance Leong, Husam Abu-Haimed Dominant Controllability Check Using QBF-Solver and Netlist Optimizer. Search on Bibsonomy SAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Emna Amouri, Habib Mehrez, Zied Marrakchi Impact of Dual Placement and Routing on WDDL Netlist Security in FPGA. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Rizwan A. Ashraf, Ronald F. DeMara Scalable FPGA Refurbishment Using Netlist-Driven Evolutionary Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Sharareh Zamanzadeh, Ali Jahanian 0001 Automatic netlist scrambling methodology in ASIC design flow to hinder the reverse engineering. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Nabil Kerkiz, Amr Elchouemi Netlist partitioning method suitable for adaptive computing systems. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Qingshan Tang, Matthieu Tuna, Zied Marrakchi, Habib Mehrez Automatic Design Flow for Creating a Custom Multi-FPGA Board Netlist. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Karim Baratli, Ahmed Lakhssassi, Yves Blaquière, Yvon Savaria A netlist pruning tool for an electronic system prototyping platform. Search on Bibsonomy NEWCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Sandeep Singh Gill, Rajeevan Chandel, Ashwani Kumar Chandel Netlist bipartitioning using particle swarm optimisation technique. Search on Bibsonomy Int. J. Artif. Intell. Soft Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Jason Xin Zheng, Miodrag Potkonjak Securing netlist-level FPGA design through exploiting process variation and degradation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Yiqiong Shi, Bah-Hwee Gwee, Ye Ren, Thet Khaing Phone, Chan Wai Ting Extracting functional modules from flattened gate-level netlist. Search on Bibsonomy ISCIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Jiazhao Xu, Mark Williams, Hari Mony, Jason Baumgartner Enhanced reachability analysis via automated dynamic netlist-based hint generation. Search on Bibsonomy FMCAD The full citation details ... 2012 DBLP  BibTeX  RDF
21Shuenn-Yuh Lee, Chih-Yuan Chen, Jia-Hua Hong, Rong-Guey Chang, Mark Po-Hung Lin Automated synthesis of discrete-time sigma-delta modulators from system architecture to circuit netlist. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Mohamed Badreddine, Yves Blaquière, Mounir Boukadoum Machine-learning framework for automatic netlist creation. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Josep Torras Flaquer, Jean-Marc Daveau, Lirida A. B. Naviner, Philippe Roche An approach to reduce computational cost in combinatorial logic netlist reliability analysis using circuit clustering and conditional probabilities. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Naser MohammadZadeh, Mehdi Sedighi, Morteza Saheb Zamani Quantum physical synthesis: Improving physical design by netlist modifications. Search on Bibsonomy Microelectron. J. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Josep Torras Flaquer, Jean-Marc Daveau, Lirida A. B. Naviner, Philippe Roche Handling reconvergent paths using conditional probabilities in combinatorial logic netlist reliability estimation. Search on Bibsonomy ICECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Yiqiong Shi, Chan Wai Ting, Bah-Hwee Gwee, Ye Ren A highly efficient method for extracting FSMs from flattened gate-level netlist. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Suprio Das, Shamik Sural, Amit Patra Resistance Estimation for Lateral Power Arrays Through Accurate Netlist Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Emna Amouri, Hayder Mrabet, Zied Marrakchi, Habib Mehrez Placement and routing techniques to improve delay balance of WDDL netlist in MFPGA. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Bikram Garg, Ashish Agrawal, Rajeev Sehgal, Amarpal Singh, Manish Khanna Partitioning, floor planning, detailed placement and routing techniques for schematic generation of analog netlist. Search on Bibsonomy EWDTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Moritz Schmid, Daniel Ziener, Jürgen Teich Netlist-level IP protection by watermarking for LUT-based FPGAs. Search on Bibsonomy FPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Catherine L. Zhou, Wai-Chung Tang, Wing-Hang Lo, Yu-Liang Wu How Much Can Logic Perturbation Help from Netlist to Final Routing for FPGAs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Sadiq M. Sait, Aiman H. El-Maleh, Raslan H. Al-Abaji Evolutionary algorithms for VLSI multi-objective netlist partitioning. Search on Bibsonomy Eng. Appl. Artif. Intell. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bita Gorjiara, Mehrdad Reshadi, Pramod Chandraiah, Daniel Gajski Generic netlist representation for system and PE level design exploration. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GNR, NISC, modeling, synthesis, system design, architecture description language, application-specific processor
21David J. Walkey, Tom J. Smy, Dritan Celo, Tom W. MacElwee, Michael C. Maliepaard Compact, netlist-based representation of thermal transient coupling using controlled sources. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Shawki Areibi, Anthony Vannelli Tabu Search: Implementation & Complexity Analysis for Netlist Partitioning. Search on Bibsonomy Int. J. Comput. Their Appl. The full citation details ... 2003 DBLP  BibTeX  RDF
21Sadiq M. Sait, Aiman H. El-Maleh, Raslan H. Al-Abaji Enhancing performance of iterative heuristics for VLSI netlist partitioning. Search on Bibsonomy ICECS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yu Huang 0005, Chien-Chung Tsai, Nilanjan Mukherjee 0001, Omer Samman, Wu-Tung Cheng, Sudhakar M. Reddy Synthesis of Scan Chains for Netlist Descriptions at RT-Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scan synthesis, design for testability (DFT), register transfer level (RTL)
21Shawki Areibi, Anthony Vannelli Tabu Search: A Meta Heuristic for Netlist Partitioning. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Helena Krupnova, Gabriele Saucier Iterative Improvement Based Multi-Way Netlist Partitioning for FPGAs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Design and Implementation of the Fiduccia-Mattheyses Heuristic for VLSI Netlist Partitioning. Search on Bibsonomy ALENEX The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Kwang-Su Seong, Chong-Min Kyung CBLO: a clustering based linear ordering for netlist partitioning. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Guenter Stenz, Bernhard M. Riess, Bernhard Rohfleisch, Frank M. Johannes Timing driven placement in interaction with netlist transformations. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Wray L. Buntine, Lixin Su, A. Richard Newton, Andrew Mayer Adaptive methods for netlist partitioning. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Fidducia-Matheysses algorithm, partitioning, stochastic optimization, placement and routing
21Charles J. Alpert, Andrew B. Kahng Recent directions in netlist partitioning: a survey. Search on Bibsonomy Integr. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Ulrike Ober, Manfred Glesner Multiway netlist partitioning onto FPGA-based board architecture. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Roman Kuznar, Franc Brglez, Baldomir Zajc Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21B. Naveen, K. S. Raghunathan An Automatic Netlist-to-Schematic Generator. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Charles J. Alpert, Andrew B. Kahng Geometric Embeddings for Faster and Better Multi-Way Netlist Partitioning. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Subindrao Singh Johal Design interfaces for high-level synthesis : library modelling, netlist generation and visualisation. Search on Bibsonomy 1993   RDF
21B. Naveen, A. Savargaonkar, K. S. Raghunathan N2S: An Automatic Netlist to Schematic generator. Search on Bibsonomy VLSI Design The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21David A. Zein, Oliver P. Engel, Gary S. Ditlow HLSIM - A New Hierarchical Logic Simulator and Netlist Converter. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
21Georg Peltz An Interpreter for General Netlist Design Rule Checking. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
21Pradeep Batra, David Cooke Hcompare: A Hierarchical Netlist Comparison Program. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
Displaying result #101 - #200 of 583 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license