The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelining with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (17) 1980-1984 (17) 1985-1986 (22) 1987-1988 (24) 1989 (17) 1990 (26) 1991 (17) 1992 (27) 1993 (28) 1994 (43) 1995 (59) 1996 (51) 1997 (52) 1998 (52) 1999 (59) 2000 (66) 2001 (66) 2002 (72) 2003 (82) 2004 (91) 2005 (115) 2006 (114) 2007 (98) 2008 (109) 2009 (76) 2010 (30) 2011 (26) 2012 (15) 2013 (26) 2014 (25) 2015 (30) 2016 (26) 2017 (27) 2018 (24) 2019 (26) 2020 (15) 2021 (21) 2022 (28) 2023 (30) 2024 (5)
Publication types (Num. hits)
article(496) book(1) incollection(9) inproceedings(1241) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1997 occurrences of 975 keywords

Results
Found 1754 publication records. Showing 1754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
35Smita Bakshi, Daniel Gajski A Scheduling and Pipelining Algorithm for Hardware/Software Systems. Search on Bibsonomy ISSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF throughput-constrained, scheduling, pipelining, high-performance, Hardware/software codesign
35Peter Pfahler, Georg Piepenbrock A Comparison of Modulo Scheduling Techniques for Software Pipelining. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, Software Pipelining, VLIW, Superscalar Processors
35Fermín Sánchez Time-Constrained Loop Pipelining. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF timing and resource contraints, register optimization, scheduling, loop pipelining
35Bogong Su, Stanley Habib, Wei Zhao, Jian Wang 0046, Youfeng Wu A study of pointer aliasing for software pipelining using run-time disambiguation. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF compensation code, pointer aliasing, rerollability, run-time disambiguation, software pipelining
35B. Ramakrishna Rau Iterative modulo scheduling: an algorithm for software pipelining loops. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF software pipelining, instruction scheduling, modulo scheduling, loop scheduling
35Hongbo Rong, Alban Douillet, Guang R. Gao Register allocation for software pipelined multi-dimensional loops. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register allocation, software pipelining
35Pradeep Kumar Mishra Pipelined Computation of Scalar Multiplication in Elliptic Curve Cryptosystems. Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Jacobian coordinates, pipelining, Elliptic curve cryptosystems, scalar multiplication
35Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
35Johannes Wolkerstorfer, Elisabeth Oswald, Mario Lamberger An ASIC Implementation of the AES SBoxes. Search on Bibsonomy CT-RSA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standard-cell design, scalability, Very Large Scale Integration (VLSI), pipelining, Advanced Encryption Standard (AES), Application Specific Integrated Circuit (ASIC), inversion, finite field arithmetic
35G. X. Tyson, M. Smelyanskyi, Edward S. Davidson Evaluating the Use of Register Queues in Software Pipelined Loops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modulo variable expansion, rotating register file, register queues, register connection, Software pipelining, VLIW
33Ramaswamy Govindarajan, Guang R. Gao, Palash Desai Minimizing Buffer Requirements under Rate-Optimal Schedule in Regular Dataflow Networks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF buffer minimization, Digital Signal Processing (DSP) computation, Multi-Rate Software Pipelining, Regular Stream Flow Graphs, software pipelining, dataflow graphs
30Hirochika Asai Deep Pipelining: Efficient Pipelining of Network Function Chains with Coroutines. Search on Bibsonomy NetSoft The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Priyankar Talukdar On logic depth per pipelining stage with power aware flop, wave and hybrid pipelining with gate size and area constraints. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Manish Garg High performance pipelining method for static circuits using heterogeneous pipelining elements. Search on Bibsonomy ESSCIRC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Yoshiyuki Yamashita, Masato Tsuru Implementing Fast Packet Filters by Software Pipelining on x86 Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Yanqin Yang, Meng Wang 0005, Zili Shao, Minyi Guo Dynamic Scratch-Pad Memory Management with Data Pipelining for Embedded Systems. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Lei Gao, David Zaretsky, Gaurav Mittal, Dan Schonfeld, Prith Banerjee A software pipelining algorithm in high-level synthesis for FPGA architectures. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Chua-Chin Wang, Gang-Neng Sung, Pai-Li Liu Power-Aware Design of An 8-Bit Pipelining ANT-Based CLA Using Data Transition Detection. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data transition detection, CLA, pipeline, power-aware, ANT
30Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk High-throughput interconnect wave-pipelining for global communication in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Easwaran Raman, Guilherme Ottoni, Arun Raman, Matthew J. Bridges, David I. August Parallel-stage decoupled software pipelining. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF doall, dswp, tlp, automatic parallelization, multi-core architectures, pipelined parallelism
30Timothy Kam, Michael Kishinevsky, Jordi Cortadella, Marc Galceran Oms Correct-by-construction microarchitectural pipelining. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Jie Shao, Ning Ye, Xiao-Yan Zhang An IEEE Compliant Floating-Point Adder with the Deeply Pipelining Paradigm on FPGAs. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Jingye Xu, Abinash Roy, Masud H. Chowdhury Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Colin J. Ihrig, Justin Stander, Alex K. Jones Pipelining Tradeoffs of Massively Parallel SuperCISC Hardware Functions. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Ming Su, Lili Zhou, C.-J. Richard Shi Maximizing the throughput-area efficiency of fully-parallel low-density parity-check decoding with C-slow retiming and asynchronous deep pipelining. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30A. Neslin Ismailoglu, Murat Askar Application of Bit-level Pipelining to Delay Insensitive Null Convention Adders. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Jingye Xu, Abinash Roy, Masud H. Chowdhury Power Consumption Analysis of Flip-flop Based Interconnect Pipelining. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Feihui Li, Mahmut T. Kandemir, Ibrahim Kolcu Exploiting Software Pipelining for Network-on-Chip architectures. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Kishan Chand Gupta, Pradeep Kumar Mishra, Pinakpani Pal A General Methodology for Pipelining the Point Multiplication Operation in Curve Based Cryptography. Search on Bibsonomy ACNS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Alban Douillet, Hongbo Rong, Guang R. Gao Multi-dimensional Kernel Generation for Loop Nest Software Pipelining. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Dongwuk Kyoung, Keechul Jung Fully-Pipelining Hardware Implementation of Neural Network for Text-Based Images Retrieval. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Jinhui Xu 0002, Guiming Wu, Yong Dou, Yazhuo Dong Designing a Coarse-Grained Reconfigurable Architecture Using Loop Self-Pipelining. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Ke Zhou 0001, Zhongying Niu Decease I/O Mean Response Time Using Software Pipelining. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Ronald D. Barnes, Shane Ryoo, Wen-mei W. Hwu "Flea-flicker" Multipass Pipelining: An Alternative to the High-Power Out-of-Order Offense. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Jahangir Hasan, T. N. Vijaykumar Dynamic pipelining: making IP-lookup truly scalable. Search on Bibsonomy SIGCOMM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scalable, pipelined, IP-lookup, longest prefix matching, tries
30Wei-Sheng Huang, Tay-Jyi Lin, Shih-Hao Ou, Chih-Wei Liu, Chein-Wei Jen Pipelining technique for energy-aware datapaths. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30João M. P. Cardoso Self-loop Pipelining and Reconfigurable Dataflow Arrays. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Steven J. E. Wilton, Su-Shin Ang, Wayne Luk The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30V. Seth, Min Zhao 0001, Jiang Hu Exploiting level sensitive latches in wire pipelining. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Mario R. Casu, Luca Macchiarulo On-Chip Transparent Wire Pipelining. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Cagdas Akturan, Margarida F. Jacome RS-FDRA: A register-sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Greg Snider Performance-constrained pipelining of software loops onto reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Matthias Korch, Thomas Rauber, Gudula Rünger Pipelining for Locality Improvement in RK Methods. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Raya Leviathan, Amir Pnueli Validating software pipelining optimizations. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, verification, compilers, pipeline processors, translation validation
30Shing Tenqchen, Ji-Horn Chang, Wu-Shiung Feng, Bor-Sheng Jeng Pipelining Extended Givens Rotation RLS Adaptive Filters. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Nilesh N. Dalvi, Sumit K. Sanghai, Prasan Roy, S. Sudarshan 0001 Pipelining in Multi-Query Optimization. (PDF / PS) Search on Bibsonomy PODS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Michael T. Niemier, Peter M. Kogge Exploring and exploiting wire-level pipelining in emerging technologies. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30E. Christopher Lewis, Lawrence Snyder Pipelining Wavefront Computations: Experiences and Performance. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Cagdas Akturan, Margarida F. Jacome FDRA: A Software-Pipelining Algorithm for Embedded VLIW Processors. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Martin Weichert Pipelining the Molecule Soup: A Plumber's Approach to Gamma. Search on Bibsonomy COORDINATION The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Jason Cong, Chang Wu FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Josep Llosa, Mateo Valero, Eduard Ayguadé Heuristics for Register-Constrained Software Pipelining. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30John C. Ruttenberg, Guang R. Gao, Woody Lichtenstein, Artour Stoutchinin Software Pipelining Showdown: Optimal vs. Heuristic Methods in a Production Compiler. Search on Bibsonomy PLDI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Framework for Resource-Constrained Rate-Optimal Software Pipelining. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30Qi Ning, Guang R. Gao A Novel Framework of Register Allocation for Software Pipelining. Search on Bibsonomy POPL The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Guang R. Gao, Herbert H. J. Hum, Yue-Bong Wong An Efficient Scheme for Fine-Grain Software Pipelining. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
30Bogong Su, Shiyuan Ding, Jian Wang 0046, Jinshi Xia GURPR - a method for global software pipelining. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
30Basavaraj Talwar, Shailesh Kulkarni, Bharadwaj Amrutur Latency, Power and Performance Trade-Offs in Network-on-Chips by Link Microarchitecture Exploration. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30V. Vireen, N. Venugopalachary, G. Seetharaman, B. Venkataramani Built in Self Test Based Design of Wave-Pipelined Circuits in ASICs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Eric L. Hill, Mikko H. Lipasti Stall cycle redistribution in a transparent fetch pipeline. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline gating, microarchitecture, dynamic power, instruction fetch
30Marco Macchetti, Luigi Dadda Quasi-Pipelined Hash Circuits. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Changbo Long, Lucanus J. Simonson, Weiping Liao, Lei He 0001 Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF piecewise-linear, performance, pipeline, interconnect, floorplanning
30Jos Sulistyo, Dong Sam Ha 5 GHz pipelined multiplier and MAC in 0.18µm complementary static CMOS. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Glenn Reinman, Brad Calder, Todd M. Austin Optimizations Enabled by a Decoupled Front-End Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fetch architectures, branch prediction, Decoupled architectures, instruction prefetching
30Ahmed F. Shalash, Keshab K. Parhi Power Efficient Folding of Pipelined LMS Adaptive Filters with Applications to Wireline Digital Communications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF LMS design, power efficient folding, wireline communications, traveling sales person, relaxed LMS, low power design, greedy algorithm, algorithm transformation
30Jan Hoogerbrugge, Lex Augusteijn Pipelined Java Virtual Machine Interpreters. Search on Bibsonomy CC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Chen Ding, Steve Carr 0001, Philip H. Sweany Modulo Scheduling with Cache Reuse Information. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Tang-Hsun Tu, Chih-wen Hsueh Batch-Pipelining for H.264 Decoding on Multicore Systems. Search on Bibsonomy DCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Optimization, Multimedia, Pipelining, Multicore, H.264
28Matthias Függer, Andreas Dielacher, Ulrich Schmid 0001 How to Speed-Up Fault-Tolerant Clock Generation in VLSI Systems-on-Chip via Pipelining. Search on Bibsonomy EDCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF modeling approaches, VLSI, pipelining, clock synchronization, Fault-tolerant distributed algorithms
28Yong Dou, Guiming Wu, Jinhui Xu 0002, Xingming Zhou A coarse-grained reconfigurable computing architecture with loop self-pipelining. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable computing, data driven, loop pipelining, register promotion
28Andreas Dielacher, Matthias Függer, Ulrich Schmid 0001 Brief announcement: how to speed-up fault-tolerant clock generation in VLSI systems-on-chip via pipelining. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modeling approaches, VLSI, pipelining, clock synchronization, fault-tolerant distributed algorithms
28Christopher T. Johnston, Donald G. Bailey, Paul J. Lyons Towards a visual notation for pipelining in a visual programming language for programming FPGAs. Search on Bibsonomy CHINZ The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, pipelining, visual programming language
28Seongmoo Heo, Krste Asanovic Power-optimal pipelining in deep submicron technology. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power scaling, supply voltage reduction, pipelining
28Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, statistical timing analysis
28Joachim Worringen Pipelining and Overlapping for MPI Collective Operations. Search on Bibsonomy LCN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF MPI, pipelining, overlapping, SCI, collective operations
28Heidi E. Ziegler, Byoungro So, Mary W. Hall, Pedro C. Diniz Coarse-Grain Pipelining on Multiple FPGA Architectures. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Coarse-grain Pipelining, FPGA-based Custom Computing Machines, Parallelizing Compiler Analysis Techniques
28Pawel Chodowiec, Po Khuon, Kris Gaj Fast implementations of secret-key block ciphers using mixed inner- and outer-round pipelining. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fast architectures, secret-key ciphers, pipelining, AES
28Glenn Altemose, Cindy Norris Register pressure responsive software pipelining. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register allocation, software pipelining
28Daehong Kim, Dongwan Shin, Kiyoung Choi Low power pipelining of linear systems: a common operand centric approach. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF common operand, operand sharing, low power, pipelining
28Roberto R. Osorio, Javier D. Bruguera New arithmetic coder/decoder architectures based on pipelining. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF arithmetic coder/decoder architectures, arithmetic encoding, arithmetic decoding, multilevel images, cycle length, VLSI, pipelining, VLSI architectures
28Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Framework for Resource-Constrained Rate-Optimal Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF superscalar and VLIW architectures, Instruction-level parallelism, integer linear programming, software pipelining, instruction scheduling
28Pierre-Yves Calland, Alain Darte, Yves Robert A New Guaranteed Heuristic for the Software Pipelining Problem. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit retiming, guaranteed heuristic, software pipelining, list scheduling, cyclic scheduling
28Ming-Syan Chen, Ming-Ling Lo, Philip S. Yu, Honesty C. Young Applying Segmented Right-Deep Trees to Pipelining Multiple Hash Joins. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bushy trees, right-deep trees, Pipelining, parallel query processing, hash joins
28James D. Allen, David E. Schimmel The impact of pipelining on SIMD architectures. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF massively parallel SIMD architectures, stall penalties, reduction operations, Scheduling mechanisms, area costs, scheduling, parallel architectures, pipelining, program compilers, pipeline processing, performance improvement, SIMD architectures, instruction delivery
28S. Rao Kosaraju Pipelining Computations in a Tree of Processors (Preliminary Version) Search on Bibsonomy FOCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF tree of processors, lower bound, dictionaries, pipelining computations, sampling technique
28Yih-Chyun Jenq Digital Convolution Algorithm for Pipelining Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF digital convolution algorithm, pipelining, multiprocessing, Dedicated processor, tree machine
28Paul Teehan, Guy G. Lemieux, Mark R. Greenstreet Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip serdes, fpga, reliable, network-on-chip, interconnect, programmable, wave pipelining, bit-serial, surfing
28Junchang Wang, Haipeng Cheng, Bei Hua, Xinan Tang Practice of parallelizing network applications on multi-core architectures. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application-level protocol processing, deep content inspection, lock-free data structures, multi-core parallelization, pipelining implementation, tcp/ip protocol processing
28Mounira Bachir, Sid Ahmed Ali Touati, Albert Cohen 0001 Post-pass periodic register allocation to minimise loop unrolling degree. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded code optimisation, periodic register allocation, software pipelining, loop unrolling
28Hugo Venturini, Frédéric Riss, Jean-Claude Fernandez, Miguel Santana Non-transparent debugging for software-pipelined loops. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-transparent debugging, compiler, software-pipelining, debugger
28Christopher Zimmer 0001, Stephen Roderick Hines, Prasad A. Kulkarni, Gary S. Tyson, David B. Whalley Facilitating compiler optimizations through the dynamic mapping of alternate register structures. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register queues, compiler optimizations, software pipelining
28Pradeep Kumar Mishra Pipelined Computation of Scalar Multiplication in Elliptic Curve Cryptosystems (Extended Version). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EC-operations, comb methods, Jacobian coordinates, sidechannel attacks, sidechannel atomicity, pipelining, ECC, Elliptic Curve Cryptosystems, scalar multiplication, binary methods
28Vidyasagar Nookala, Ying Chen, David J. Lilja, Sachin S. Sapatnekar Microarchitecture-aware floorplanning using a statistical design of experiments approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF floorplanning, microarchitecture, wire pipelining
28John Teifel, Rajit Manohar Highly pipelined asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF concurrency, pipelining, asynchronous circuits, programmable logic, correctness by construction
28Vidyasagar Nookala, Sachin S. Sapatnekar A method for correcting the functionality of a wire-pipelined circuit. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synchronous design, wire pipelining
28Qingfeng Zhuge, Bin Xiao 0001, Edwin Hsing-Mean Sha Code size reduction technique and implementation for software-pipelined DSP applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, software pipelining, Retiming, DSP processors
28Masaru Takesue Software Queue-Based Algorithms for Pipelined Synchronization on Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF queue-based locks, algorithms, synchronization, Multiprocessors, pipelining
28J. Living, M. Moniri, S. B. Tennakoon Efficient Recursive Digital Filters using Combined Look-Ahead Denominator Distribution and Numerator Decomposition. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IIR digital filters, iteration bound, look ahead pipelining, resource minimisation
28Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero MIRS: Modulo Scheduling with Integrated Register Spilling. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Instruction-Level Parallelism, Register Allocation, Software Pipelining, Spill Code
28Mitrajit Chatterjee, Savita Banerjee, Dhiraj K. Pradhan Buffer Assignment Algorithms on Data Driven ASICs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF throughput, Application specific integrated circuits, buffers, data flow graph, wave-pipelining, data driven architecture
Displaying result #101 - #200 of 1754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license