|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2790 occurrences of 1382 keywords
|
|
|
Results
Found 6894 publication records. Showing 6894 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
36 | Shashidhar Mysore, Banit Agrawal, Timothy Sherwood, Nisheeth Shrivastava, Subhash Suri |
Profiling over Adaptive Ranges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 26-29 March 2006, New York, New York, USA, pp. 147-158, 2006, IEEE Computer Society, 0-7695-2499-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Hussam Mousa, Chandra Krintz |
HPS: Hybrid Profiling Support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 17-21 September 2005, St. Louis, MO, USA, pp. 38-50, 2005, IEEE Computer Society, 0-7695-2429-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Chen-Ting Chuang, Chin-Fu Kuo, Tei-Wei Kuo, Ai-Chun Pang |
A Multi-Granularity Energy Profiling Approach and a Quantitative Study of a Web Browser. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WORDS ![In: 10th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems (WORDS 2005), 2-4 February 2005, Sedona, AZ, USA, pp. 160-170, 2005, IEEE Computer Society, 0-7695-2347-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Mikhail Dmitriev 0001 |
Profiling Java applications using code hotswapping and dynamic call graph revelation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOSP ![In: Proceedings of the Fourth International Workshop on Software and Performance, WOSP 2004, Redwood Shores, California, USA, January 14-16, 2004, pp. 139-150, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Dinesh C. Suresh, Walid A. Najjar, Frank Vahid, Jason R. Villarreal, Greg Stitt |
Profiling tools for hardware/software partitioning of embedded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2003 Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'03). San Diego, California, USA, June 11-13, 2003, pp. 189-198, 2003, ACM, 1-58113-647-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
loop analysis, compiler optimization, hardware/software partitioning |
36 | Evelyn Duesterwald, Vasanth Bala |
Software Profiling for Hot Path Prediction: Less is More. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, MA, USA, November 12-15, 2000., pp. 202-211, 2000, ACM Press, 1-58113-317-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
34 | Yaohua Sun, Ting Zhu 0001, Ziguo Zhong, Tian He 0001 |
Energy profiling for mPlatform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 7th International Conference on Embedded Networked Sensor Systems, SenSys 2009, Berkeley, California, USA, November 4-6, 2009, pp. 407-408, 2009, ACM, 978-1-60558-519-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
TwinStar, energy profiling, mPlatform, ultra-capacitor, energy harvesting |
34 | Philippe Moret, Walter Binder, Alex Villazón |
CProf: customizable calling context cross-profiling for embedded java processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PEPM ![In: Proceedings of the 2009 ACM SIGPLAN Symposium on Partial Evaluation and Semantics-based Program Manipulation, PEPM 2009, Savannah, GA, USA, January 19-20, 2009, pp. 161-164, 2009, ACM, 978-1-60558-327-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cross-profiling, embedded java processors, java virtual machine, bytecode instrumentation |
34 | Guoqing Xu 0001, Matthew Arnold, Nick Mitchell, Atanas Rountev, Gary Sevitsky |
Go with the flow: profiling copies to find runtime bloat. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2009 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2009, Dublin, Ireland, June 15-21, 2009, pp. 419-430, 2009, ACM, 978-1-60558-392-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
copy graph, memory bloat, profiling, heap analysis |
34 | Daniel Spoonhower, Guy E. Blelloch, Robert Harper 0001, Phillip B. Gibbons |
Space profiling for parallel functional programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceeding of the 13th ACM SIGPLAN international conference on Functional programming, ICFP 2008, Victoria, BC, Canada, September 20-28, 2008, pp. 253-264, 2008, ACM, 978-1-59593-919-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cost semantics, scheduling, parallelism, profiling, standard ml |
34 | Christos Strydis, Georgi Gaydadjiev |
Profiling of lossless-compression algorithms for a novel biomedical-implant architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 109-114, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
implantable devices, microarchitectural profiling, lossless compression, ultra-low power |
34 | Christos Strydis, Di Zhu, Georgi Gaydadjiev |
Profiling of symmetric-encryption algorithms for a novel biomedical-implant architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 231-240, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
implantable devices, microarchitectural profiling, symmetric encryption, ultra-low power |
34 | Fabio Perez Marzullo, Rodrigo Novo Porto, Divany Gomes Lima, Jano Moreira de Souza, José Roberto Blaschek |
A Practical MDA Approach for Autonomic Profiling and Performance Assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECMDA-FA ![In: Model Driven Architecture - Foundations and Applications, 4th European Conference, ECMDA-FA 2008, Berlin, Germany, June 9-13, 2008. Proceedings, pp. 110-120, 2008, Springer, 978-3-540-69095-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Database, benchmarking, code generation, MDA, Profiling, performance testing |
34 | Dustin McIntire, Thanos Stathopoulos, William J. Kaiser |
etop: sensor network application energy profiling on the LEAP2 platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the 6th International Conference on Information Processing in Sensor Networks, IPSN 2007, Cambridge, Massachusetts, USA, April 25-27, 2007, pp. 576-577, 2007, ACM, 978-1-59593-638-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
embedded wireless networked sensor, energy profiling, energy-aware multiprocessor platform, power aware applications |
34 | Chengye Lu, Yue Xu 0001, Shlomo Geva |
Collection Profiling for Collection Fusion in Distributed Information Retrieval Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KSEM ![In: Knowledge Science, Engineering and Management, Second International Conference, KSEM 2007, Melbourne, Australia, November 28-30, 2007, Proceedings, pp. 279-288, 2007, Springer, 978-3-540-76718-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
collection profiling, peer to peer, distributed information retrieval, collection fusion |
34 | Tipp Moseley, Daniel A. Connors, Dirk Grunwald, Ramesh Peri |
Identifying potential parallelism via loop-centric profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 4th Conference on Computing Frontiers, 2007, Ischia, Italy, May 7-9, 2007, pp. 143-152, 2007, ACM, 978-1-59593-683-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
loop profiling, parallelization |
34 | Anupam Chanda, Alan L. Cox, Willy Zwaenepoel |
Whodunit: transactional profiling for multi-tier applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: Proceedings of the 2007 EuroSys Conference, Lisbon, Portugal, March 21-23, 2007, pp. 17-30, 2007, ACM, 978-1-59593-636-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
distribution, profiling |
34 | Hsin-hung Lin, Chih-wen Hsueh |
Applying pinwheel scheduling and compiler profiling for power-aware real-time scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 34(1), pp. 37-51, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Power-aware real-time scheduling, Pinwheel model, Embedded systems, Profiling, Dynamic voltage scaling |
34 | Daniela Godoy, Analía Amandi |
User Profiling for Web Page Filtering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Internet Comput. ![In: IEEE Internet Comput. 9(4), pp. 56-64, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Web page filtering, agents, personalization, intelligent agents, user profiling |
34 | Brian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn |
Interaction cost and shotgun profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(3), pp. 272-304, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
modeling, Performance analysis, profiling, critical path |
34 | Lesley Shannon, Paul Chow |
Using reconfigurability to achieve real-time profiling for hardware/software codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, FPGA 2004, Monterey, California, USA, February 22-24, 2004, pp. 190-199, 2004, ACM, 1-58113-829-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
FPGA, profiling, performance measurement, embedded processor, hardware/software codesign, soft processor |
34 | Philippe Golle, Ayman Farahat |
Defending email communication against profiling attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WPES ![In: Proceedings of the 2004 ACM Workshop on Privacy in the Electronic Society, WPES 2004, Washington, DC, USA, October 28, 2004, pp. 39-40, 2004, ACM, 1-58113-968-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
privacy, profiling, encryption, email |
34 | Lukai Cai, Andreas Gerstlauer, Daniel Gajski |
Retargetable profiling for rapid, early system-level design space exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 281-286, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
profiling, exploration, system level design, retargetable |
34 | Bart Custers |
Effects of Unreliable Group Profiling by Means of Data Mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discovery Science ![In: Discovery Science, 6th International Conference, DS 2003, Sapporo, Japan, October 17-19,2003, Proceedings, pp. 291-296, 2003, Springer, 3-540-20293-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
group profiling, stigmatization, confrontation, security, Data mining, reliability, distributivity, selection, ethics, KDD, data protection, personal data |
34 | Stuart E. Middleton, Nigel R. Shadbolt, David De Roure |
Capturing interest through inference and visualization: ontological user profiling in recommender systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
K-CAP ![In: Proceedings of the 2nd International Conference on Knowledge Capture (K-CAP 2003), October 23-25, 2003, Sanibel Island, FL, USA, pp. 62-69, 2003, ACM, 1-58113-583-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
profile visualization, ontology, machine learning, recommender systems, user modelling, user profiling, knowledge capture |
33 | Leif Uhsadel, Andy Georges, Ingrid Verbauwhede |
Exploiting Hardware Performance Counters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDTC ![In: Fifth International Workshop on Fault Diagnosis and Tolerance in Cryptography, 2008, FDTC 2008, Washington, DC, USA, 10 August 2008, pp. 59-67, 2008, IEEE Computer Society, 978-0-7695-3314-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
33 | Benedikt Gierlichs, Kerstin Lemke-Rust, Christof Paar |
Templates vs. Stochastic Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHES ![In: Cryptographic Hardware and Embedded Systems - CHES 2006, 8th International Workshop, Yokohama, Japan, October 10-13, 2006, Proceedings, pp. 15-29, 2006, Springer, 3-540-46559-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
High-Order Attacks, Performance Analysis, Stochastic Model, Power Analysis, Side Channel Cryptanalysis, Template Attack |
33 | Weiqun Zheng, Shamim Khan, Hong Xie |
BSP Performance Analysis and Prediction: Tools and Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 5th International Conference, PaCT-99, St. Petersburg, Russia, September 6-10, 1999, Proceedings, pp. 313-319, 1999, Springer, 3-540-66363-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
32 | Wilson Naik Bhukya, Suneel Kumar Kommuru, Atul Negi |
Masquerade Detection Based Upon GUI User Profiling in Linux Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIAN ![In: Advances in Computer Science - ASIAN 2007. Computer and Network Security, 12th Asian Computing Science Conference, Doha, Qatar, December 9-11, 2007, Proceedings, pp. 228-239, 2007, Springer, 978-3-540-76927-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
GUI based Profiling, Mouse events, KDE, Linux Profiling, Intrusion detection, Anomaly detection, One-class SVM, Masquerade detection |
32 | Danilo Ansaloni, Walter Binder, Alex Villazón, Philippe Moret |
Rapid development of extensible profilers for the Java virtual machine with aspect-oriented programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOSP/SIPEW ![In: Proceedings of the first joint WOSP/SIPEW International Conference on Performance Engineering, San Jose, California, USA, January 28-30, 2010, pp. 57-62, 2010, ACM, 978-1-60558-563-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
java, concurrency, aspect-oriented programming, profiling, bytecode instrumentation, calling context tree |
32 | Hiroshi Inoue, Toshio Nakatani |
How a Java VM can get more from a hardware performance monitor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 137-154, 2009, ACM, 978-1-60558-766-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
profiling, hardware performance monitor, calling context |
32 | Benjamin Eckart, Xubin He, Hong Ong, Stephen L. Scott |
An Extensible I/O Performance Analysis Framework for Distributed Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings, pp. 57-68, 2009, Springer, 978-3-642-03868-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
I/O profiling, Distributed Systems, Virtualization, Disk I/O |
32 | Kun Wang, Yuejian Xie, Sanli Li, Xiaoying Wang 0002 |
Performance Analysis of the OGSA-DAI 3.0 Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fifth International Conference on Information Technology: New Generations (ITNG 2008), 7-8 April 2008, Las Vegas, Nevada, USA, pp. 15-20, 2008, IEEE Computer Society, 978-0-7695-3099-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
OGSA-DAI 3.0, profiling |
32 | Dries Buytaert, Andy Georges, Michael Hind, Matthew Arnold, Lieven Eeckhout, Koen De Bosschere |
Using hpm-sampling to drive dynamic compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2007, October 21-25, 2007, Montreal, Quebec, Canada, pp. 553-568, 2007, ACM, 978-1-59593-786-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
java, profiling, just-in-time compilation, hardware performance monitors |
32 | Aravind Menon, Jose Renato Santos, Yoshio Turner, G. John Janakiraman, Willy Zwaenepoel |
Diagnosing performance overheads in the xen virtual machine environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 1st International Conference on Virtual Execution Environments, VEE 2005, Chicago, IL, USA, June 11-12, 2005, pp. 13-23, 2005, ACM, 1-59593-047-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
statistical profiling, performance analysis, virtual machine monitors |
30 | Kaiming Li, Lei Guo 0002, Gang Li 0001, Jingxin Nie, Carlos Faraco, Qun Zhao, L. Stephen Miller, Tianming Liu 0001 |
Gyral Folding Pattern Analysis via Surface Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (1) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2009, 12th International Conference, London, UK, September 20-24, 2009, Proceedings, Part I, pp. 313-320, 2009, Springer, 978-3-642-04267-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
30 | Trishul M. Chilimbi, Ben Liblit, Krishna K. Mehra, Aditya V. Nori, Kapil Vaswani |
HOLMES: Effective statistical debugging via efficient path profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 31st International Conference on Software Engineering, ICSE 2009, May 16-24, 2009, Vancouver, Canada, Proceedings, pp. 34-44, 2009, IEEE, 978-1-4244-3452-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
30 | Giovanni Semeraro, Verner Andersen, Hans H. K. Andersen, Marco Degemmis, Pasquale Lops |
User profiling and virtual agents: a case study on e-commerce services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Univers. Access Inf. Soc. ![In: Univers. Access Inf. Soc. 7(3), pp. 179-194, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Personalization, E-commerce, Evaluation methodology |
30 | Kuai Xu, Feng Wang 0002, Supratik Bhattacharyya, Zhi-Li Zhang |
A Real-Time Network Traffic Profiling System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007, 25-28 June 2007, Edinburgh, UK, Proceedings, pp. 595-605, 2007, IEEE Computer Society, 0-7695-2855-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Alberto Alvarez 0001, Bartolomé Garau, Andrea Caiti |
Combining networks of drifting profiling floats and gliders for adaptive sampling of the Ocean. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 157-162, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | B. Jang, Y.-B. Kim, Fabrizio Lombardi |
Error rate reduction in DNA self-assembly by non-constant monomer concentrations and profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 847-852, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Shan He 0001, Xiaoli Li 0002 |
Profiling of High-Throughput Mass Spectrometry Data for Ovarian Cancer Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAL ![In: Intelligent Data Engineering and Automated Learning - IDEAL 2007, 8th International Conference, Birmingham, UK, December 16-19, 2007, Proceedings, pp. 860-869, 2007, Springer, 978-3-540-77225-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Sean Callanan, Radu Grosu, Justin Seyster, Scott A. Smolka, Erez Zadok |
Model Predictive Control for Memory Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-7, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Johanna Bragge, Sami Relander, Anne Sunikka, Petri Mannonen |
Enriching Literature Reviews with Computer-Assisted Research Mining. Case: Profiling Group Support Systems Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 40th Hawaii International International Conference on Systems Science (HICSS-40 2007), CD-ROM / Abstracts Proceedings, 3-6 January 2007, Waikoloa, Big Island, HI, USA, pp. 243, 2007, IEEE Computer Society, 0-7695-2755-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Limin Yao, Jie Tang 0001, Juan-Zi Li |
A Unified Approach to Researcher Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Intelligence ![In: 2007 IEEE / WIC / ACM International Conference on Web Intelligence, WI 2007, 2-5 November 2007, Silicon Valley, CA, USA, Main Conference Proceedings, pp. 359-366, 2007, IEEE Computer Society, 0-7695-3026-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Witold Abramowicz, Monika Kaczmarek, Marek Kowalkiewicz, Dominik Zyskowski |
Architecture for Service Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCW ![In: Proceedings of the 2006 IEEE Services Computing Workshops (SCW 2006), 18-22 September 2006, Chicago, Illinois, USA, pp. 121-130, 2006, IEEE Computer Society, 0-7695-2681-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
30 | P. H. H. Rongen, J. Schröder, F. P. M. Dignum, J. Moorman |
A Multi Agent Approach to Interest Profiling of Users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CEEMAS ![In: Multi-Agent Systems and Applications IV, 4th International Central and Eastern European Conference on Multi-Agent Systems, CEEMAS 2005, Budapest, Hungary, September 15-17, 2005, Proceedings, pp. 326-335, 2005, Springer, 3-540-29046-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Michael D. Bond, Kathryn S. McKinley |
Continuous Path and Edge Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 130-140, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Nathan Froyd, John M. Mellor-Crummey, Robert J. Fowler |
Low-overhead call path profiling of unmodified, optimized code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 81-90, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Hassan Chafi, Chi Cao Minh, Austen McDonald, Brian D. Carlstrom, JaeWoong Chung, Lance Hammond, Christos Kozyrakis, Kunle Olukotun |
TAPE: a transactional application profiling environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 199-208, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
30 | Mikhail Dmitriev 0001 |
Selective profiling of Java applications using dynamic bytecode instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2004 IEEE International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings, pp. 141-150, 2004, IEEE Computer Society, 0-7803-8385-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
30 | Rajiv Khosla, Chris Lai, Tharanga Goonesekera |
Behavior Profiling Based on Psychological Data and Emotional States. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: Knowledge-Based Intelligent Information and Engineering Systems, 8th International Conference, KES 2004, Wellington, New Zealand, September 20-25, 2004. Proceedings. Part III, pp. 772-779, 2004, Springer, 3-540-23205-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
30 | Toshiaki Yasue, Toshio Suganuma, Hideaki Komatsu, Toshio Nakatani |
An Efficient Online Path Profiling Framework for Java Just-In-Time Compilers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September - 1 October 2003, New Orleans, LA, USA, pp. 148-158, 2003, IEEE Computer Society, 0-7695-2021-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
30 | Jeffrey S. Vetter |
Dynamic statistical profiling of communication activity in distributed applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2002, June 15-19, 2002, Marina Del Rey, California, USA, pp. 240-250, 2002, ACM, 1-58113-531-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Kanishka Lahiri, Anand Raghunathan, Sujit Dey |
Fast system-level power profiling for battery-efficient system design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES ![In: Proceedings of the Tenth International Symposium on Hardware/Software Codesign, CODES 2002, Estes Park, Colorado, USA, May 6-8, 2002, pp. 157-162, 2002, ACM, 1-58113-542-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Robert J. Hall 0001 |
CPPROFJ: Aspect-Capable Call Path Profiling of Multi-Threaded Java Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 17th IEEE International Conference on Automated Software Engineering (ASE 2002), 23-27 September 2002, Edinburgh, Scotland, UK, pp. 107-116, 2002, IEEE Computer Society, 0-7695-1736-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Timothy H. Heil, James E. Smith 0001 |
Concurrent Garbage Collection UsingHardware-Assisted Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: ISMM 2000, International Symposium on Memory Management, Minneapolis, Minnesota, USA, October 15-16, 2000 (in conjunction with OOPSLA 2000), Conference Proceedings, pp. 80-93, 2000, ACM, 1-58113-263-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
30 | Srinivas Mantripragada, Alexandru Nicolau |
Using profiling to reduce branch misprediction costs on a dynamically scheduled processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 14th international conference on Supercomputing, ICS 2000, Santa Fe, NM, USA, May 8-11, 2000, pp. 206-214, 2000, ACM, 1-58113-270-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
30 | Qiming Chen, Umeshwar Dayal, Meichun Hsu |
OLAP-based Scalable Profiling of Customer Behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DaWaK ![In: Data Warehousing and Knowledge Discovery, First International Conference, DaWaK '99, Florence, Italy, August 30 - September 1, 1999, Proceedings, pp. 55-64, 1999, Springer, 3-540-66458-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
30 | Glenn Ammons, Thomas Ball, James R. Larus |
Exploiting Hardware Performance Counters with Flow and Context Sensitive Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN '97 Conference on Programming Language Design and Implementation (PLDI), Las Vegas, Nevada, USA, June 15-18, 1997, pp. 85-96, 1997, ACM, 0-89791-907-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
30 | Thomas Ball, James R. Larus |
Optimally Profiling and Tracing Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Nineteenth Annual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, Albuquerque, New Mexico, USA, January 19-22, 1992, pp. 59-70, 1992, ACM Press, 0-89791-453-8. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
28 | Juan Pizarro |
Profiling Bots and Fake News Spreaders at PAN'19 and PAN'20 : Bots and Gender Profiling 2019, Profiling Fake News Spreaders on Twitter 2020. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSAA ![In: 7th IEEE International Conference on Data Science and Advanced Analytics, DSAA 2020, Sydney, Australia, October 6-9, 2020, pp. 626-630, 2020, IEEE, 978-1-7281-8206-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
28 | Chun-Yu Lin, Huang-Chen Lee, Wei-Hsuan Lee, Chen-Lung Chan, Chung-Ta King |
SenPro: concurrent system profiling for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the 9th International Conference on Information Processing in Sensor Networks, IPSN 2010, April 12-16, 2010, Stockholm, Sweden, pp. 424-425, 2010, ACM, 978-1-60558-988-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
wireless sensor networks, profiling, TinyOS |
28 | Mariam Ouanaim, Hamid Harroud, Aziz Berrado, Mohammed Boulmalf |
Dynamic user profiling approach for services discovery in mobile environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, IWCMC 2010, Caen, France, June 28 - July 2, 2010, pp. 550-554, 2010, ACM, 978-1-4503-0062-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
behavior learning, segmentation, prediction, user profiling, user interactions |
28 | Alberto Polzonetti |
User-centric mobile services: context provisioning and user profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DG.O ![In: Proceedings of the 11th Annual International Conference on Digital Government Research, Public Administration Online: Challenges and Opportunities, DG.O 2010, Puebla, Mexico, May 17-20, 2010, pp. 122-130, 2010, Digital Government Research Center, 978-1-4503-0070-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
context evaluation, user centric application, context awareness, user profiling |
28 | Walter Binder, Danilo Ansaloni, Alex Villazón, Philippe Moret |
Parallelizing calling context profiling in virtual machines on multicores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 7th International Conference on Principles and Practice of Programming in Java, PPPJ 2009, Calgary, Alberta, Canada, August 27-28, 2009, pp. 111-120, 2009, ACM, 978-1-60558-598-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
calling context profiling, Java, concurrency, aspect-oriented programming, multicores, Java virtual machine, bytecode instrumentation, calling context tree |
28 | Philippe Moret, Walter Binder, Martin Schoeberl, Alex Villazón, Danilo Ansaloni |
Analyzing performance and dynamic behavior of embedded Java software with calling-context cross-profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 7th International Conference on Principles and Practice of Programming in Java, PPPJ 2009, Calgary, Alberta, Canada, August 27-28, 2009, pp. 121-124, 2009, ACM, 978-1-60558-598-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
calling-context cross-profiling, visualization of calling-context profiles, Java, Java processors |
28 | Dik Lun Lee |
User profiling and personalized information delivery on the static and mobile web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WIDM ![In: 11th ACM International Workshop on Web Information and Data Management (WIDM 2009), Hong Kong, China, November 2, 2008, pp. 1-2, 2009, ACM, 978-1-60558-808-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
user clustering, user profiling, query clustering, clickthrough |
28 | Todd Mytkowicz, Devin Coughlin, Amer Diwan |
Inferred call path profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 175-190, 2009, ACM, 978-1-60558-766-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
call path, profiling, stack, calling context, calling context tree |
28 | Frederick W. B. Li, Rynson W. H. Lau, Parthiban Dharmendran |
A Three-Tier Profiling Framework for Adaptive e-Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWL ![In: Advances in Web Based Learning - ICWL 2009, 8th International Conference, Aachen, Germany, August 19-21, 2009. Proceedings, pp. 235-244, 2009, Springer, 978-3-642-03425-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
student profiles, course profiles, Profiling, adaptive e-Learning |
28 | Sriram Govindan, Jeonghwan Choi, Bhuvan Urgaonkar, Anand Sivasubramaniam, Andrea Baldini |
Statistical profiling-based techniques for effective power provisioning in data centers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: Proceedings of the 2009 EuroSys Conference, Nuremberg, Germany, April 1-3, 2009, pp. 317-330, 2009, ACM, 978-1-60558-482-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
power provisioning, power supply hierarchy, prediction, profiling, data center |
28 | Tao Xiang 0002, Shaogang Gong |
Video Behavior Profiling for Anomaly Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 30(5), pp. 893-908, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Behaviour profiling, Dynamic Scene Modelling, FeatureSelection, Anomaly Detection, Dynamic Bayesian Networks, Spectral clustering |
28 | Jinfeng Zhuang, Steven C. H. Hoi, Aixin Sun, Rong Jin 0001 |
Representative entry selection for profiling blogs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 17th ACM Conference on Information and Knowledge Management, CIKM 2008, Napa Valley, California, USA, October 26-30, 2008, pp. 1387-1388, 2008, ACM, 978-1-59593-991-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
blog classification, blog profiling, entry selection |
28 | Alisa Kongthon, Choochart Haruechaiyasak, Santipong Thaiprayoon |
Enhancing the Literature Review Using Author-Topic Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICADL ![In: Digital Libraries: Universal and Ubiquitous Access to Information, 11th International Conference on Asian Digital Libraries, ICADL 2008, Bali, Indonesia, December 2-5, 2008. Proceedings, pp. 335-338, 2008, Springer, 978-3-540-89532-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Bibliographic data, author-topic profiling, text mining, Latent Dirichlet Allocation (LDA), literature review |
28 | Constantin Sârbu, Andréas Johansson, Neeraj Suri |
Execution Path Profiling for OS Device Drivers: Viability and Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAS ![In: Service Availability, 5th International Service Availability Symposium, ISAS 2008, Tokyo, Japan, May 19-21, 2008, Proceedings, pp. 90-109, 2008, Springer, 978-3-540-68128-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Code Path Profiling, Operating System, Cluster Analysis, Device Driver, Black-box Testing |
28 | Huimin Geng, Hesham H. Ali, Wing C. Chan |
A Hidden Markov Model Approach for Prediction of Genomic Alterations from Gene Expression Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBRA ![In: Bioinformatics Research and Applications, Fourth International Symposium, ISBRA 2008, Atlanta, GA, USA, May 6-9, 2008. Proceedings, pp. 414-425, 2008, Springer, 978-3-540-79449-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Gene Expression Profiling (GEP), Comparative Genomic Hybridization (CGH), Genomic Alterations, Hidden Markov Model (HMM) |
28 | Jinfeng Zhuang, Steven C. H. Hoi, Aixin Sun |
On profiling blogs with representative entries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AND ![In: Proceedings of the Second Workshop on Analytics for Noisy Unstructured Text Data, AND 2008, Singapore, July 24, 2008, pp. 55-62, 2008, ACM, 978-1-60558-196-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
blog classification, blog profiling, entry selection |
28 | Roy Levin, Ilan Newman, Gadi Haber |
Complementing Missing and Inaccurate Profiling Using a Minimum Cost Circulation Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings, pp. 291-304, 2008, Springer, 978-3-540-77559-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Circulations, Real-time, Sampling, Profiling, Control Flow, Flow network |
28 | Edison Mera, Pedro López-García 0001, Germán Puebla, Manuel Carro, Manuel V. Hermenegildo |
Combining Static Analysis and Profiling for Estimating Execution Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, 9th International Symposium, PADL 2007, Nice, France, January 14-15, 2007., pp. 140-154, 2007, Springer, 978-3-540-69608-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Mobile Computing, Profiling, Cost Models, Cost Analysis, Resource Awareness, Execution Time Estimation |
28 | Carsten Gremzow |
Compiled low-level virtual instruction set simulation and profiling for code partitioning and ASIP-synthesis in hardware/software co-design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCSC ![In: Proceedings of the 2007 Summer Computer Simulation Conference, SCSC 2007, San Diego, California, USA, July 16-19, 2007, pp. 741-748, 2007, Simulation Councils, Inc., 1-56555-316-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
hardware/software co-synthesis, instruction set architecture simulation, quantitative dataflow analysis, profiling, coarse-grained parallelism, LLVM |
28 | Volker Bruns, Simon Reymann, Artur Lugmayr |
Distributed profiling in a partitioned ambient network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUM ![In: Proceedings of the 6th International Conference on Mobile and Ubiquitous Multimedia, MUM 2007, Oulu, Finland, December 12-14, 2007, pp. 34-41, 2007, ACM, 978-1-59593-916-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
personalization, synchronization, user profiling |
28 | Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee |
Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 786-791, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances |
28 | Paola Salomoni, Silvia Mirri, Stefano Ferretti, Marco Roccetti |
Profiling learners with special needs for custom e-learning experiences, a closed case? ![Search on Bibsonomy](Pics/bibsonomy.png) |
W4A ![In: Proceedings of the 2007 International Cross-Disciplinary Conference on Web Accessibility (W4A 2007), Banff, Canada, May 7-8, 2007, pp. 84-92, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
device capabilities, e-learning accessibility, learners preferences, profiling |
28 | Pierpaolo Basile, Eufemia Tinelli, Marco Degemmis, Tommaso Di Noia, Giovanni Semeraro, Eugenio Di Sciascio |
Semantic Bayesian Profiling Services for Information Recommendation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (3) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, KES 2007, XVII Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007, Proceedings, Part III, pp. 711-719, 2007, Springer, 978-3-540-74828-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
soft and strict constraints, recommender system, user profiling, matchmaking |
28 | Xuxian Jiang, Dongyan Xu |
Profiling self-propagating worms via behavioral footprinting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WORM ![In: Proceedings of the 2006 ACM Workshop on Rapid Malcode, WORM 2006, Alexandria, VA, USA, November 3, 2006, pp. 17-24, 2006, ACM Press, 1-59593-551-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
behavioral footprinting, content signature, worm profiling, worms |
28 | Jörg Sander 0001, Raymond T. Ng, Monica C. Sleumer, Man Saint Yuen, Steven J. M. Jones |
A methodology for analyzing SAGE libraries for cancer profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Inf. Syst. ![In: ACM Trans. Inf. Syst. 23(1), pp. 35-60, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cancer profiling, clustering, classification, Gene expression |
28 | Martin Hiller, Arshad Jhumka, Neeraj Suri |
EPIC: Profiling the Propagation and Effect of Data Errors in Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(5), pp. 512-530, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Data error propagation, data error effect, dependability assessment, fault injection, software profiling |
28 | Johan Schuurmans, Boris E. R. de Ruyter, Harry van Vliet |
User profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended abstracts of the 2004 Conference on Human Factors in Computing Systems, CHI 2004, Vienna, Austria, April 24 - 29, 2004, pp. 1739-1740, 2004, ACM, 1-58113-703-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cross domain user experience, user model, profiling |
28 | Olena Parkhomenko, Yugyung Lee, E. K. Park |
Ontology-driven peer profiling in peer-to-peer enabled semantic web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 2003 ACM CIKM International Conference on Information and Knowledge Management, New Orleans, Louisiana, USA, November 2-8, 2003, pp. 564-567, 2003, ACM, 1-58113-723-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
peer profile, ontology, semantic web, P2P, profiling |
28 | Harjit Singh, Steven Furnell, Benn Lines, Paul Dowland 0001 |
Investigating and Evaluating Behavioural Profiling and Intrusion Detection Using Data Mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MMM-ACNS ![In: Information Assurance in Computer Networks: Methods, Models, and Architectures for Network Security, International Workshop MMM-ACNS 2001, St. Petersburg, Russia, May 21-23, 2001, Proceedings, pp. 153-158, 2001, Springer, 3-540-42103-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Behavioural Profiling, Data Mining, Knowledge Discovery, Intrusion Detection Systems, Intelligent Data Analysis |
28 | Srinivas Katkoori, Nand Kumar, Ranga Vemuri |
High level profiling based low power synthesis technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 446-453, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
high level profiling based low power synthesis technique, average switching capacitance, user-specified set, switching capacitance, VLSI, delay, logic design, power estimation, area |
28 | Barbara G. Ryder, William Landi, Hemant D. Pande |
Profiling an Incremental Data Flow Analysis Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(2), pp. 129-140, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
incremental data flow analysis algorithm, empirical profiling, parallel programming, program testing, evolving software systems |
27 | Trevor Harmon, Raymond Klefstad |
Automatic Performance Visualization of Distributed Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: Ninth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2006), 24-26 April 2006, Gyeongju, Korea, pp. 531-538, 2006, IEEE Computer Society, 0-7695-2561-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Christopher Falzone, Anthony Chan, Ewing L. Lusk, William Gropp |
Collective Error Detection for MPI Collective Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 12th European PVM/MPI Users' Group Meeting, Sorrento, Italy, September 18-21, 2005, Proceedings, pp. 138-147, 2005, Springer, 3-540-29009-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MPI, errors, hashing, collective, datatype |
27 | Xipeng Shen, Yaoqing Gao, Chen Ding 0001, Roch Archambault |
Lightweight reference affinity analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 131-140, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
data interleving, data regrouping, compiler, memory optimization, frequency, affinity |
27 | Werner Schindler, Kerstin Lemke, Christof Paar |
A Stochastic Model for Differential Side Channel Cryptanalysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHES ![In: Cryptographic Hardware and Embedded Systems - CHES 2005, 7th International Workshop, Edinburgh, UK, August 29 - September 1, 2005, Proceedings, pp. 30-46, 2005, Springer, 3-540-28474-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Differential Side Channel Cryptanalysis, Minimum Principle, Maximum Likelihood Principle, Stochastic Model, DPA, Power Analysis, DEMA, Template Attack, Electromagnetic Analysis |
27 | Qiong Cai, Jingling Xue |
Optimal and Efficient Speculation-Based Partial Redundancy Elimination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 1st IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2003), 23-26 March 2003, San Francisco, CA, USA, pp. 91-104, 2003, IEEE Computer Society, 0-7695-1913-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Yue W. Webster, Ranga Chandra Gudivada, Ernst R. Dow, Jacob Koehler, Mathew J. Palakal |
A framework for cross-disciplinary hypothesis generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 1511-1515, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
translational research, semantic web, user profiling, graph analysis, association discovery |
25 | Yi Fang |
Entity information management in complex networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGIR ![In: Proceeding of the 33rd International ACM SIGIR Conference on Research and Development in Information Retrieval, SIGIR 2010, Geneva, Switzerland, July 19-23, 2010, pp. 917, 2010, ACM, 978-1-4503-0153-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
entity profiling, social network analysis, entity retrieval |
25 | Naoto Yukinawa, Shigeyuki Oba, Kikuya Kato, Shin Ishii |
Optimal Aggregation of Binary Classifiers for Multiclass Cancer Diagnosis Using Gene Expression Profiles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE ACM Trans. Comput. Biol. Bioinform. ![In: IEEE ACM Trans. Comput. Biol. Bioinform. 6(2), pp. 333-343, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cancer diagnosis, gene expression profiling, Multiclass classification, error correcting output coding |
Displaying result #101 - #200 of 6894 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|