The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase set-associative (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1976-1993 (18) 1994-1996 (17) 1997-1999 (16) 2000-2002 (22) 2003 (17) 2004 (22) 2005 (18) 2006-2007 (25) 2008 (15) 2009 (16) 2010-2015 (16) 2018-2024 (9)
Publication types (Num. hits)
article(40) inproceedings(171)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 272 occurrences of 155 keywords

Results
Found 211 publication records. Showing 211 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15José Luis Hamkalo, Andrés Djordjalian, Bruno Cernuschi-Frías A shared-way set associative architecture for on-chip caches. Search on Bibsonomy CATA The full citation details ... 2001 DBLP  BibTeX  RDF
15Ramon Doallo, Basilio B. Fraguela, Emilio L. Zapata Set Associative Cache Behavior Optimization. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15John Stuart Harper, Darren J. Kerbyson, Graham R. Nudd Efficient Analytical Modelling of Multi-Level Set-Associative Caches. Search on Bibsonomy HPCN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Koji Inoue, Tohru Ishihara, Kazuaki J. Murakami Way-predicting set-associative cache for high performance and low energy consumption. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Basilio B. Fraguela, Ramon Doallo, Emilio L. Zapata Modeling Set Associative Caches Behavior for Irregular Computations. Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF probabilistic model, cache performance, sparse matrix, irregular computation
15Frank Mueller 0001 Generalizing timing predictions to set-associative caches. Search on Bibsonomy RTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Nathalie Drach, André Seznec, Daniel Windheiser Direct-mapped versus set-associative pipelined caches. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
15Ching-Farn Eric Wu, Yarsun Hsu, Yew-Huey Liu Efficient Stack Simulation for Shared Memory Set-Associative Multiprocessor Caches. Search on Bibsonomy ICPP (1) The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15C. Eric Wu, Yarsun Hsu, Yew-Huey Liu Stack simulation for set-associative V/R-type caches. Search on Bibsonomy COMPSAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Yuguang Wu, Gerald J. Popek, Richard R. Muntz Efficient Evaluation of Arbitrary Set-Associative Caches on Multiprocessors. Search on Bibsonomy SPDP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Wen-Hann Wang, Jim Quinlan, Konrad Lai Revisit the case for direct-mapped chaches: a case for two-way set-associative level-two caches. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Stephen A. Ward, Robert C. Zak Set-associative dynamic random access memory. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Alan Jay Smith A Comparative Study of Set Associative Memory Mapping Algorithms and Their Use for Cache and Main Memory. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
15Alan Jay Smith On the Effectiveness of Set Associative Page Mapping and Its Application to Main Memory Management. Search on Bibsonomy ICSE The full citation details ... 1976 DBLP  BibTeX  RDF
15Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente, Ramon Canal, Pedro López 0001, José Duato An hybrid eDRAM/SRAM macrocell to implement first-level data caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF retention time, static and dynamic memory cells, leakage current
15Chuanjun Zhang Balanced instruction cache: reducing conflict misses of direct-mapped caches through balanced subarray accesses. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jan Staschulat, Rolf Ernst Scalable precision cache analysis for preemptive scheduling. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, embedded systems, cache, worst case execution time analysis
15Mathias Spjuth, Martin Karlsson, Erik Hagersten Skewed caches from a low-power perspective. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF elbow, skewed caches, low-power, CAT
15Min-wuk Lee, Byeong-Gyu Nam, Ju-Ho Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, Hoi-Jun Yoo A fixed-point 3D graphics library with energy-efficient cache architecture for mobile multimedia systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Akihito Sakanaka, Seiichirou Fujii, Toshinori Sato A leakage-energy-reduction technique for highly-associative caches in embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memories, embedded processors, leakage current
15Pepijn J. de Langen, Ben H. H. Juurlink Reducing traffic generated by conflict misses in caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF caches, embedded processors, power reduction, conflict misses
15John Y. Fong, Randy Acklin, John Roscher, Feng Li, Cindy Laird, Cezary Pietrzyk Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Chuanjun Zhang, Frank Vahid, Walid A. Najjar A Highly-Configurable Cache Architecture for Embedded Systems. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, low energy, architecture tuning
15Weiyu Tang, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Lishing Liu Partial address directory for cache access. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Seif Haridi, Erik Hagersten The Cache Coherence Protocol of the Data Diffusion Machine. Search on Bibsonomy PARLE (1) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14Yu Liu 0037, Wei Zhang 0002 Exploiting stack distance to estimate worst-case data cache performance. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stack distance, cache, timing analysis, worst-case execution time
14Linda M. Null, Karishma Rao CAMERA: introducing memory concepts via visualization. Search on Bibsonomy SIGCSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF computer memory workbenches, education, tutorial
14Calin Cascaval, David A. Padua Estimating cache misses and locality using stack distances. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stack algorithms, cache modeling, compiler algorithms
14Adam Wiggins, Simon Winwood, Harvey Tuch, Gernot Heiser Legba: Fast Hardware Support for Fine-Grained Protection. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Amos Fiat, Manor Mendel, Steven S. Seiden Online Companion Caching. Search on Bibsonomy ESA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Andrés Djordjalian Minimally-Skewed-Associative Caches. Search on Bibsonomy SBAC-PAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Hans Vandierendonck, Koenraad De Bosschere A Comparison of Locality-Based and Recency-Based Replacement Policies. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14François Bodin, André Seznec Skewed Associativity Enhances Performance Predictability. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Jeffrey J. Rothschild Cache organizations. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1979 DBLP  DOI  BibTeX  RDF Buffer memories, computer architecture, cache memories, paging, memory organization
10Madhu Mutyam, Narayanan Vijaykrishnan Working with process variation aware caches. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yutao Zhong 0001, Steven G. Dropsho, Xipeng Shen, Ahren Studer, Chen Ding 0001 Miss Rate Prediction Across Program Inputs and Cache Configurations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimization, compilers, Cache memories, modeling techniques, performance analysis and design aids
9Yutao Zhong 0001, Steve Dropsho, Chen Ding 0001 Miss Rate Prediction across All Program Inputs. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Brad Calder, Dirk Grunwald, Joel S. Emer Predictive Sequential Associative Cache. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF predictive sequential associative cache, miss rate, prediction sources, storage management, memory architecture, content-addressable storage, access time, direct-mapped cache, access latency
9Richard E. Kessler, Richard Jooss, Alvin R. Lebeck, Mark D. Hill Inexpensive Implementations of Set-Associativity. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
8Chuanjun Zhang Reducing cache misses through programmable decoders. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, Cache, dynamic optimization
8Minghua Tang, Xiaola Lin A Novel Scheme to Balance the Cache Sharing in High Performance Computing System. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Mingming Zhang, Xiaotao Chang, Ge Zhang 0007 Reducing cache energy consumption by tag encoding in embedded processors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF tag encoding, cache, low power design, embedded processors
8Fong Pong, Nian-Feng Tzeng Storage-Efficient Architecture for Routing Tables via Prefix Transformation. Search on Bibsonomy LCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Chuanjun Zhang Balanced Cache: Reducing Conflict Misses of Direct-Mapped Caches. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Tohru Ishihara, Farzan Fallah A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Zhiqiang Ma, Zhenzhou Ji, Mingzeng Hu, Yi Ji Energy Efficient United L2 Cache Design with Instruction/Data Filter Scheme. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Ramesh V. Peri, John Fernando, Ravi K. Kolagotla Addressing mode driven low power data caches for embedded processors. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Martin Kämpe, Per Stenström, Michel Dubois 0001 Self-correcting LRU replacement policies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LRU algorithms, mistake prediction, shadow directories
8Alaa R. Alameldeen, David A. Wood 0001 Adaptive Cache Compression for High-Performance Processors. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Toni Juan, Tomás Lang, Juan J. Navarro The Difference-bit Cache. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Edouard Bugnion, Jennifer-Ann M. Anderson, Todd C. Mowry, Mendel Rosenblum, Monica S. Lam Compiler-Directed Page Coloring for Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Scott McFarling Program Optimization for Instruction Caches. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
7Michel Hanna, Socrates Demetriades, Sangyeun Cho, Rami G. Melhem CHAP: Enabling Efficient Hardware-Based Multiple Hash Schemes for IP Lookup. Search on Bibsonomy Networking The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware multiple hashing, content-based probing, IP lookup
7Cuiping Xu, Ge Zhang, Shouqing Hao Fast Way-Prediction Instruction Cache for Energy Efficiency and High Performance. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
7Deze Zeng, Minyi Guo, Song Guo 0001, Mianxiong Dong, Hai Jin 0001 The Design and Evaluation of a Selective Way Based Trace Cache. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction fetch unit design, selective way, energy efficient, computer architecture, trace cache
7Jongmin Lee 0002, Soontae Kim An energy-delay efficient 2-level data cache architecture for embedded system. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2-level data cache, early cache hit predictor, one-way write
7Guangyu Sun 0003, Xiaoxia Wu, Yuan Xie 0001 Exploration of 3D stacked L2 cache design for high performance and efficient thermal control. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF thermal control, performance, 3D, L2 caches
7Timothy M. Jones 0001, Sandro Bartolini, Bruno De Bus, John Cavazos, Michael F. P. O'Boyle Instruction Cache Energy Saving Through Compiler Way-Placement. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Naizheng Bian, Hao Chen A Least Grade Page Replacement Algorithm for Web Cache Optimization. Search on Bibsonomy WKDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Chih-Wen Hsueh, Jen-Feng Chung, Lan-Da Van, Chin-Teng Lin Anticipatory access pipeline design for phased cache. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Riku Saikkonen, Eljas Soisalon-Soininen Cache-sensitive Memory Layout for Binary Trees. Search on Bibsonomy IFIP TCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Hyungmin Cho, Bernhard Egger 0002, Jaejin Lee, Heonshik Shin Dynamic data scratchpad memory management for a memory subsystem with an MMU. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF horizontally-partitioned memory, post-pass optimization, compilers, scratchpad memory, demand paging
7Emre Özer 0001, Stuart Biles Thread Priority-Aware Random Replacement in TLBs for a High-Performance Real-Time SMT Processor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Arul Sandeep Gade, Yul Chu A Case for Dual-Mapping One-Way Caches. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Milene Barbosa Carvalho, Luís F. W. Góes, Carlos Augusto Paiva da Silva Martins Dynamically reconfigurable cache architecture using adaptive block allocation policy. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Hoon-Mo Yang, Gi-Ho Park, Shin-Dug Kim Low-Power Data Cache Architecture by Address Range Reconfiguration for Multimedia Applications. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded system, low-power, multimedia application, cache architecture
7Fong Pong Fast and Robust TCP Session Lookup by Digest Hash. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Hui Zeng, Kanad Ghose Register file caching for energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register caching, energy-efficiency, register files
7Allan Hartstein, Viji Srinivasan, Thomas R. Puzak, Philip G. Emma Cache miss behavior: is it sqrt(2)? Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, memory hierarchy, cache organization
7Shen-Fu Hsiao, Sze-Yun Lin, Tze-Chong Cheng, Ming-Yu Tsai An Automatic Cache Generator Based on Content-Addressable Memory. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Yudong Tan, Vincent John Mooney III WCRT analysis for a uniprocessor with a unified prioritized cache. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time system, timing analysis, cache design
7Evangelia Athanasaki, Kornilios Kourtis, Nikos Anastopoulos, Nectarios Koziris Tuning Blocked Array Layouts to Exploit Memory Hierarchy in SMT Architectures. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Amit Gandhi, Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan, Konrad K. Lai Scalable Load and Store Processing in Latency Tolerant Processors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7G. Edward Suh, Larry Rudolph, Srinivas Devadas Dynamic Partitioning of Shared Cache Memory. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP and SMT, shared caches, cache partitioning
7Yudong Tan, Vincent John Mooney III Timing Analysis for Preemptive Multi-Tasking Real-Time Systems with Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A Self-Tuning Cache Architecture for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
7Chi F. Chen, Se-Hyun Yang, Babak Falsafi, Andreas Moshovos Accurate and Complexity-Effective Spatial Pattern Prediction. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Abdur Rakib, Oleg Parshin, Stephan Thesing, Reinhard Wilhelm Component-Wise Instruction-Cache Behavior Prediction. Search on Bibsonomy ATVA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Pavel Tvrdík, Ivan Simecek Performance Optimization and Evaluation for Linear Codes. Search on Bibsonomy NAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Basilio B. Fraguela, Ramon Doallo, Emilio L. Zapata Probabilistic Miss Equations: Evaluating Memory Hierarchy Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF probabilistic miss estimation, Analytical modeling, performance prediction, memory hierarchy, compiler optimizations
7Jung-Hoon Lee, Gi-Ho Park, Shin-Dug Kim An Adaptive Multi-Module Cache with Hardware Prefetching Mechanism for Multimedia Applications. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Akihito Sakanaka, Toshinori Sato Reducing Static Energy of Cache Memories via Prediction-Table-Less Way Prediction. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Diego Andrade, Basilio B. Fraguela, Ramon Doallo Cache Behavior Modeling of Codes with Data-Dependent Conditionals. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Paul Racunas, Yale N. Patt Partitioned first-level cache design for clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF partitioned cache, clustered microarchitecture
7Maria Grigoriadou, Maria Toula, Evangelos Kanidis Design and Evaluation of a Cache Memory Simulation Program. Search on Bibsonomy ICALT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau Reducing data cache energy consumption via cached load/store queue. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LSQ, load queue, store queue, low power, cache, memory, low energy, low latency
7Pavel Tvrdík, Ivan Simecek Analytical Modeling of Optimized Sparse Linear Code. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Brian R. Mestan, Mikko H. Lipasti Exploiting Partial Operand Knowledge. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Jason Stinson, Stefan Rusu A 1.5GHz third generation itanium® 2 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-die cache, reliability, test, design methodology, processor
7Jung-Hoon Lee, Shin-Dug Kim, Charles C. Weems Application-adaptive intelligent cache memory system. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic block fetching and cache memory, general application, media application, Memory hierarchy, temporal locality, spatial locality
7Dana S. Henry, Gabriel H. Loh, Rahul Sami Speculative Clustered Caches for Clustered Processors. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
7Yul Chu, Mabo Robert Ito An Efficient Indirect Branch Predictor. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
7Gi-Ho Park, Kil-Whan Lee, Jae-Hyuk Lee, Tack-Don Han, Shin-Dug Kim A Power Efficient Cache Structure for Embedded Processors Based on the Dual Cache Structure. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
7Jeffrey B. Rothman, Alan Jay Smith Sector Cache Design and Performance. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sector cache, simulation, architecture, workloads, multiprogramming
7Ernesto Damiani, Valentino Liberali, Andrea Tettamanzi Dynamic Optimisation of Non-linear Feed Forward Circuits. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
7Afzal Malik, Bill Moyer, Dan Cermak A low power unified cache architecture providing power and performance flexibility (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
7Afzal Malik, Bill Moyer, Dan Cermak The M·CORETM M340 Unified Cache Architecture. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
7David H. Albonesi Selective Cache Ways: On-Demand Cache Resource Allocation. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 211 (100 per page; Change: )
Pages: [<<][1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license