The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for skew with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1980 (15) 1982-1987 (18) 1988-1989 (15) 1990 (20) 1991-1992 (30) 1993 (35) 1994 (35) 1995 (48) 1996 (48) 1997 (62) 1998 (42) 1999 (79) 2000 (61) 2001 (62) 2002 (75) 2003 (89) 2004 (125) 2005 (132) 2006 (146) 2007 (133) 2008 (170) 2009 (127) 2010 (117) 2011 (108) 2012 (92) 2013 (115) 2014 (133) 2015 (150) 2016 (121) 2017 (124) 2018 (128) 2019 (139) 2020 (160) 2021 (139) 2022 (148) 2023 (111) 2024 (31)
Publication types (Num. hits)
article(1800) incollection(24) inproceedings(1552) phdthesis(7)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1267 occurrences of 744 keywords

Results
Found 3383 publication records. Showing 3383 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
47Majdi Ben Hadj Ali An Object/Segment Oriented Skew-Correction Technique for Document Images. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Connected components, Skew detection, Skew correction, optical character recognition systems
45Xin-Wei Shih, Yao-Wen Chang Fast timing-model independent buffered clock-tree synthesis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
45Fabian Kuhn, Thomas Locher, Rotem Oshman Gradient clock synchronization in dynamic networks. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed algorithms, clock synchronization, dynamic networks
45Hongyu Chen, Chung-Kuan Cheng A multi-level transmission line network approach for multi-giga hertz clock distribution. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Arjun Kapoor, Nikhil Jayakumar, Sunil P. Khatri A novel clock distribution and dynamic de-skewing methodology. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Amith R. Mamidala, Jiuxing Liu, Dhabaleswar K. Panda 0001 Efficient Barrier and Allreduce on Infiniband clusters using multicast and adaptive algorithms. Search on Bibsonomy CLUSTER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Carl Ebeling, Brian Lockyear On the performance of level-clocked circuits. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-clocked circuits, level-sensitive latches, timing, synchronisation, flip-flops, clocks, retiming, clock skew, clock period, pipelined circuits
42Shinya Abe, Kenichi Shinkai, Masanori Hashimoto, Takao Onoye Clock skew reduction by self-compensating manufacturing variability with on-chip sensors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF on-chip sensors, self-compensation, clock distribution, manufacturing variability
42Vinayak Honkote, Baris Taskin Zero clock skew synchronization with rotary clocking technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Charbel J. Akl, Rafic A. Ayoubi, Magdy A. Bayoumi Post-Silicon Clock-nvert (PSCI) for reducing process-variation induced skew in buffered clock networks. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Atanu Chattopadhyay, Zeljko Zilic Built-in Clock Skew System for On-line Debug and Repair. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Abinash Roy, Masud H. Chowdhury Analysis of the impacts of signal rise/fall time and skew variations in coupled-RLC interconnects. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Chia-Ming Chang 0002, Shih-Hsu Huang, Yuan-Kai Ho, Jia-Zong Lin, Hsin-Po Wang 0002, Yu-Sheng Lu Type-matching clock tree for zero skew clock gating. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, gated clock, clock network synthesis
42Lichun Zhang, Yue Lu, Guoyue Chen, Patrick S. P. Wang Image Skew Detection for Formulas without Fraction Bars Using Connected Components Analysis. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Sherif A. Tawfik, Volkan Kursun Low-Power Low-Voltage Hot-Spot Tolerant Clocking with Suppressed Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Pingli Huang, Yun Chiu A Gradient-Based Algorithm for Sampling Clock Skew Calibration of SHA-less Pipeline ADCs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Sherif A. Tawfik, Volkan Kursun Dual-V_DD Clock Distribution for Low Power and Minimum Temperature Fluctuations Induced Skew. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Shih-Hsu Huang, Yow-Tyng Nieh Synthesis of nonzero clock skew circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Anand Rajaram, Jiang Hu, Rabi N. Mahapatra Reducing clock skew variability via crosslinks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Ganesh Venkataraman, Jiang Hu, Frank Liu 0001, Cliff C. N. Sze Integrated placement and skew optimization for rotary clocking. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Chia-Chun Tsai, Jan-Ou Wu, Yu-Ting Shieh, Chung-Chieh Kuo, Trong-Yen Lee Tapping Point Numerical-Based Search for Exact Zero-Skew RLC Clock Tree Construction. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Dihua Xi, Mohamed Kamel, Seong-Whan Lee Skew Estimation and Correction for Form Documents Using Wavelet Decomposition. Search on Bibsonomy ICIAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Adnan Amin, Sue Wu Robust Skew Detection in mixed Text/Graphics Documents. Search on Bibsonomy ICDAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Takahiro J. Yamaguchi, Mani Soma, Jim Nissen, David Halter, Rajesh Raina, Masahiro Ishida Skew measurements in clock distribution circuits using an analytic signal method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Shih-Hsu Huang, Yow-Tyng Nieh Clock Period Minimization of Non-Zero Clock Skew Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Sei Hyung Jang A new synchronous mirror delay with an auto-skew-generation circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Mohamed Nekili, Yvon Savaria, Guy Bois Minimizing process-induced skew using delay tuning. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Mostafa Bamha, Gaétan Hains A Skew-insensitive Algorithm for Join and Multi-join Operations on Shared Nothing Machines. Search on Bibsonomy DEXA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42Xiaohong Jiang 0001, Susumu Horiguchi Optimization of Wafer Scale H-Tree Clock Distribution Network Based on a New Statistical Skew Model. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42Ying Liu, Sani R. Nassif, Lawrence T. Pileggi, Andrzej J. Strojwas Impact of interconnect variations on the clock skew of a gigahertz microprocessor. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42Ivan S. Kourtev, Eby G. Friedman Clock skew scheduling for improved reliability via quadratic programming. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Andrew V. Goldberg, Alexander V. Karzanov Maximum Skew-Symmetric Flows. Search on Bibsonomy ESA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
42Jae Chung, Chung-Kuan Cheng Skew sensitivity minimization of buffered clock tree. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
42Shen Lin, C. K. Wong Process-variation-tolerant clock skew minimization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
42Ren-Song Tsay An exact zero-skew clock routing algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
42Tadayuki Sakakibara, Katsuyoshi Kitai, Tadaaki Isobe, Shigeko Yazawa, Teruo Tanaka, Yasuhiro Inagami, Yoshiko Tamaki Scalable Parallel Memory Architecture with a Skew Scheme. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF ILLIAC IV
40Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu A single layer zero skew clock routing in X architecture. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF single layer, X architecture, zero skew, clock routing
40Inna Vaisband, Ran Ginosar, Avinoam Kolodny, Eby G. Friedman Power efficient tree-based crosslinks for skew reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF crosslink, non-tree clock distribution network, power, mesh, skew, clock tree
40Yi Wang, Wai-Shing Luk, Xuan Zeng 0001, Jun Tao 0001, Changhao Yan, Jiarong Tong, Wei Cai 0003, Jia Ni Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-Gaussian, process variations, yield, clock skew scheduling
40Min Ni, Seda Ogrenci Memik Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-Vth, leakage power optimization, gate sizing, clock skew scheduling
40Charbel J. Akl, Magdy A. Bayoumi Transition Skew Coding: A Power and Area Efficient Encoding Technique for Global On-Chip Interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transition skew coding, global on-chip interconnects, bus encoding technique, encoding latencies, decoding latencies, 90 nm
40Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic thermal clock skew compensation using tunable delay buffers. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature aware design methodology, tunable delay buffers, clock skew, clock tree
40David Camarero, Jean-François Naviner, Patrick Loumeau Digital background and blind calibration for clock skew error in time-interleaved analog-to-digital converters. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital calibration, parallel ADC, sample-time errors, time-interleaved, adaptive filters, clock skew
40Yu-lung Lo, Yu-chen Huang Effective Skew Handling for Parallel Sorting in Multiprocessor Database Systems. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Load Balancing, Parallel Sort, Data Skew
40Wai-Ching Douglas Lam, Cheng-Kok Koh, Chung-Wen Albert Tsao Power Supply Noise Suppression via Clock Skew Scheduling. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Skew Scheduling, Power noise, Clock
40Baris Taskin, Ivan S. Kourtev Performance optimization of single-phase level-sensitive circuits using time borrowing and non-zero clock skew. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, linear programming, clock skew, cycle stealing
40Amit Kumar Das 0001, Bhabatosh Chanda A fast algorithm for skew detection of document images using morphology. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF OCR, Mathematical morphology, Text segmentation, Document processing, Skew detection
40Oleg Okun, Matti Pietikäinen, Jaakko J. Sauvola Document skew estimation without angle range restriction. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Skew estimation, Image processing, Document image analysis
40Junichi Kanai, Andrew D. Bagdanov Projection profile based skew estimation algorithm for JBIG compressed images. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Skew estimation, JBIG compression -Document image analysis, Performance evaluation
40B. B. Chaudhuri 0001, U. Pal 0001 Skew Angle Detection of Digitized Indian Script Documents. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF document structure analysis, digital library, optical character recognition (OCR), Document processing, skew detection
40Nadine Rondel, Gilles Burel Cooperation of multi-layer perceptrons for the estimation of skew angle in text document images. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF skew angle estimation, text document images, sensor array processing technique, three-layer perceptron, maximum likelihood-like optimization, simulated radar data, noisy handwritten texts, optical character recognition, optical character recognition, neural net, multilayer perceptrons
39Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF (inter)connection, boundary merging and embedding, bounded-skew, interior merging and embedding, merging region, merging segment, pathlength delay, VLSI, low power, synchronization, Steiner tree, clock tree, Elmore delay, zero-skew
38Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Process-induced skew reduction in nominal zero-skew clock trees. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Zena M. Ariola, Stefan Blom Skew and omega-Skew Confluence and Abstract Böhm Semantics. Search on Bibsonomy Processes, Terms and Cycles The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Lei Wang 0003 An energy-efficient skew compensation technique for high-speed skew-sensitive signaling. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Juang-Ying Chueh, Conrad H. Ziesler, Marios C. Papaefthymiou Experimental Evaluation of Resonant Clock Distribution. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Darius Buntinas, Dhabaleswar K. Panda 0001, Ron Brightwell Application-Bypas Broadcast in MPICH over GM. Search on Bibsonomy CCGRID The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Adam Wagner, Darius Buntinas, Dhabaleswar K. Panda 0001, Ron Brightwell Application-Bypass Reduction for Large-Scale Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Chung-Sheng Li, Kumar N. Sivarajan, David G. Messerschmitt Statistical analysis of timing rules for high-speed synchronous VLSI systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Ahmed El-Amawy, Priyalal Kulasinghe Properties of Generalized Branch and Combine Clock Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
36Christoph Lenzen 0001, Thomas Locher, Roger Wattenhofer Tight bounds for clock synchronization. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gradient property, optimal skew bounds, clock synchronization
36Wei-Chung Chao, Wai-Kei Mak Low-power gated and buffered clock network construction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, buffer, clock gating, Clock tree, zero-skew
36Jiun-Kuan Wu, Tsung-Yi Wu, Liang-Ying Lu, Kuang-Yao Chen IR Drop Reduction via a Flip-Flop Resynthesis Technique. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Peak Current Reduction, IR Drop, Clock Skew Scheduling
36Duc Thanh Nguyen A rotation method for binary document images using DDA algorithm. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DDA, rotation algorithm, skew correction
36Sherif A. Tawfik, Volkan Kursun Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-Vth, supply voltage scaling, temperature variations, clock skew, frequency scaling, dual-VDD
36Shih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu Register binding for clock period minimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-level synthesis, clock skew, timing optimization
36Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Clock buffer and wire sizing using sequential programming. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF skew, robust design, clock tree synthesis
36Hendrik Knoche, Hermann de Meer, David Kirsh Compensating for low frame rates. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2005 DBLP  DOI  BibTeX  RDF audio-visual integration, skew, frame rates, speech perception
36Kai Wang 0011, Malgorzata Marek-Sadowska Clock network sizing via sequential linear programming with time-domain analysis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential linear programming, sizing, clock skew, time-domain analysis
36Jiahong Wang, Masatoshi Miyazaki, Hisao Kameda, Jie Li 0002 Improving Performance of Parallel Transaction Processing Systems by Balancing Data Load on Line. Search on Bibsonomy ICPADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel transaction processing, on-line data redistribution, load balancing, Parallel databases, data skew
36Mohamed Nekili, Yvon Savaria, Guy Bois Design of Clock Distribution Networks in Presence of Process Variations. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF process variations, clock skew, clock distribution
36Naresh Maheshwari, Sachin S. Sapatnekar A Practical Algorithm for Retiming Level-Clocked Circuits. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF level-clocked, retiming, clock skew, timing optimization
36K. Arvind Probabilistic Clock Synchronization in Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF distributedsystems, probability of invalidity, deterministicalgorithm, master-slave scheme, time transmission protocol, distributed processing, probability, synchronisation, clock synchronization, probabilistic algorithm, clock skew, synchronization messages
35Maheshwar Umasankar, Ahmed El-Amawy Generalized Algorithms for Systematic Synthesis of Branch-and-Combine Clock Networks for Meshes, Tori, and Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF skew bound, Branch-and-Combine, feature cycle length, hypercube, mesh, tile, torus, Clock skew, clock network
35Alan Olson, Kang G. Shin Fault-Tolerant Clock Synchronization in Large Multicomputer Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant clock synchronization, large multicomputer systems, clock value, maximum skew, maximum time, fault tolerance, reliability, fault tolerant computing, multiprocessing systems, synchronisation, clocks, clock skew, clock drift, synchronization algorithm
34Arjun Dasgupta, Nan Zhang 0004, Gautam Das 0001 Turbo-charging hidden database samplers with overflowing queries and skew reduction. Search on Bibsonomy EDBT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Qasim M. Chaudhari, Erchin Serpedin, Khalid A. Qaraqe On Maximum Likelihood Estimation of Clock Offset and Skew in Networks With Exponential Delays. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Muhammad Sarfraz 0001, Zeehasham Rasheed Skew Estimation and Correction of Text Using Bounding Box. Search on Bibsonomy CGIV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Hyein Lee 0003, Seungwhun Paik, Youngsoo Shin Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34An Hu, Fei Yuan Inter-signal timing skew compensation of parallel links with voltage-mode incremental signaling. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Risi Kondor, Karsten M. Borgwardt The skew spectrum of graphs. Search on Bibsonomy ICML The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Delphine Boucher, Willi Geiselmann, Felix Ulmer Skew-cyclic codes. Search on Bibsonomy Appl. Algebra Eng. Commun. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cyclic codes, Finite rings
34Shih-Hsu Huang, Yow-Tyng Nieh Clock skew scheduling with race conditions considered. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sequential circuits, logic synthesis, performance optimization, timing optimization
34Aradhya V. N. Manjunath, Ashok Rao, G. Hemantha Kumar 0001 Language Independent Skew Estimation Technique Based on Gaussian Mixture Models: A Case Study on South Indian Scripts. Search on Bibsonomy PReMI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Karthik Duraisami, Prassanna Sithambaram, Ashoka Visweswara Sathanur, Alberto Macii, Enrico Macii, Massimo Poncino Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Vineet Wason, Rajeev Murgai, William W. Walker An Efficient Uncertainty- and Skew-aware Methodology for Clock Tree Synthesis and Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Anand Rajaram, Bing Lu, Jiang Hu, Rabi N. Mahapatra, Wei Guo Analytical bound for unwanted clock skew due to wire width variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34M. Y. Ashkan, D. S. Guru, P. Punitha 0001 Skew Estimation in Persian Documents: A Novel Approach. Search on Bibsonomy CGIV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Min-Seok Kim, Jiang Hu Associative skew clock routing for difficult instances. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34B. V. Dhandra, V. S. Malemath, Mallikarjun Hangarge, Ravindra S. Hegadi Skew Detection in Binary Image Documents Based on Image Dilation and Region labeling Approach. Search on Bibsonomy ICPR (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Anand Rajaram, David Z. Pan Fast Incremental Link Insertion in Clock Networks for Skew Variability Reduction. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Kai Wang 0011, Yajun Ran, Hailin Jiang, Malgorzata Marek-Sadowska General skew constrained clock network sizing based on sequential linear programming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jeng-Liang Tsai, Charlie Chung-Ping Chen Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Xiaoguang Li, Ge Yu 0001, Daling Wang, Yubin Bao ESPClust: An Effective Skew Prevention Method for Model-Based Document Clustering. Search on Bibsonomy CICLing The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Low Cost Scheme for On-Line Clock Skew Compensation. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34P. Shivakumara, G. Hemantha Kumar 0001, D. S. Guru, P. Nagabhushan An Efficient Skew Estimation Technique for Binary Document Images Based on Boundary Growing and Linear Regression Analysis. Search on Bibsonomy ICONIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Anand Rajaram, Bing Lu, Wei Guo, Rabi N. Mahapatra, Jiang Hu Analytical Bound for Unwanted Clock Skew due to Wire Width Variation. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Rishi Chaturvedi, Jiang Hu A Simple Yet Effective Merging Scheme for Prescribed-Skew Clock Routing. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Atsushi Suzuki, Shoji Kawahito, Daisuke Miyazaki, Masanori Furuta A digitally skew correctable multi-phase clock generator using a master-slave DLL. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 3383 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license