The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Deependra Talla, Lizy Kurian John, Viktor S. Lapinskii, Brian L. Evans Evaluating Signal Processing and Multimedia Applications on SIMD, VLIW and Superscalar Architectures. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34D. K. Arvind 0001, Robert D. Mullins A Fully Asynchronous Superscalar Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Andrew Wolfe, Derek B. Noonburg A Superscalar 3D Graphics Engine. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Dana S. Henry, Bradley C. Kuszmaul, Vinod Viswanath The Ultrascalar Processor-An Asymptotically Scalable Superscalar Microarchitecture. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Eliseu M. Chaves Filho, Edil S. T. Fernandes The Effect of the Speculation Depth on the Performance of Superscalar Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Sriram Vajapeyam, Tulika Mitra Improving Superscalar Instruction Dispatch and Issue by Exploiting Dynamic Code Sequences. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Ulrich Sigmund, Theo Ungerer Identifying Bottlenecks in a Multithreaded Superscalar Microprocessor. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Derek B. Noonburg, John Paul Shen Theoretical modeling of superscalar processor performance. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Mauricio J. Serrano, Wayne Yamamoto, Roger C. Wood, Mario Nemirovsky A Model for Performance Estimation in a Multistreamed Superscalar Processor. Search on Bibsonomy Computer Performance Evaluation The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker Sentinel Scheduling for VLIW and Superscalar Processors. (long version: TOCS 11(4): 376-408) Search on Bibsonomy ASPLOS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
34Yen-Jen Oyang Exploiting multi-way branching to boost superscalar processor performance. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
34Pradeep K. Dubey, George B. Adams III, Michael J. Flynn Spectrum of choices: superpipelined, superscalar, or multiprocessor? Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
34Ali Mustafa Zaidi, David J. Greaves Achieving Superscalar Performance without Superscalar Overheads - A Dataflow Compiler IR for Custom Computing. Search on Bibsonomy ICCSW The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Morihiro Kuga, Kazuaki J. Murakami, Shinji Tomita DSNS (dynamically-hazard-resolved statically-code-scheduled, nonuniform superscalar): yet another superscalar processor architecture. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
32Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith 0001 A Top-Down Approach to Architecting CPI Component Performance Counters. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware performance counter architecture, superscalar processor performance modeling, performance, measurement, experimentation, modeling techniques
32Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith 0001 A performance counter architecture for computing accurate CPI components. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware performance counter architecture, superscalar processor performance modeling
32Kenneth M. Wilson, Kunle Olukotun High Bandwidth On-Chip Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Dynamic superscalar, banked cache, dual-ported cache, SPEC95, memory bandwidth
32Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF energy-efficient datapath, superscalar processor, power reduction, dynamic instruction scheduling
32Isak Jonsson, Bo Kågström Parallel Triangular Sylvester-Type Matrix Equation Solvers for SMP Systems Using Recursive Blocking. Search on Bibsonomy PARA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Sylvester-type matrix equations, recursion, superscalar, level 3 BLAS, GEMM-based, automatic blocking
32Kiyeon Lee, Sangyeun Cho In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Superscalar out-of-order processor, performance modeling, trace-driven simulation
32Ramaswamy Govindarajan, Hongbo Yang, José Nelson Amaral, Chihong Zhang, Guang R. Gao Minimum Register Instruction Sequencing to Reduce Register Spills in Out-of-Order Issue Superscalar Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code sequence optimization, code generation, Compiler optimization, instruction level parallelism, register allocation, instruction scheduling, superscalar architectures
32Gurhan Kucuk, Kanad Ghose, Dmitry Ponomarev 0001, Peter M. Kogge Energy: efficient instruction dispatch buffer design for superscalar processors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bitline segmentation, low power comparator, low power instruction scheduling, low-power superscalar datapath
32Meng-chou Chang, Feipei Lai Efficient Exploitation of Instruction-Level Parallelism for Superscalar Processors by the Conjugate Register File Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multilevel boosting, shadow register file, conjugate register file, scheduling-conflict graph, Instruction-level parallelism, speculative execution, superscalar processors
32Yooichi Shintani, Kiyoshi Inoue, Eiki Kamada, Toru Shonai A Performance and Cost Analysis of Applying Superscalar Method to Mainframe Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CPI, operand cache, object compatibility, performance, pipeline, RISC, superscalar, CPU, OLTP, hardware cost, CISC, mainframe computer, Arithmetic unit
32C. J. Elston, D. B. Christianson, Paul A. Findlay, Gordon B. Steven Hades-towards the design of an asynchronous superscalar processor. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous superscalar processor, Hades, generic processor architecture, asynchronous processor design, decoupled operand forwarding, register writeback, computer architecture, logic design
32Carlos Montemayor, Marie Sullivan, Jen-Tien Yen, Pete Wilson, Richard Evers, K. R. Kishore The PowerPC 603e microprocessor: an enhanced, low-power, superscalar microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC 603e microprocessor, low-power superscalar microprocessor, portable products, on-chip instruction, cache associativity, bus modes, 120 SPECint92, 105 SPECfp92, die size, software controllable power-down modes, power saving capability, 16 Kbyte, performance evaluation, performance, computer architecture, system design, power consumption, data cache, cache storage, microprocessor chips, frequency, system buses, portable computers, portable computers, transistors, 100 MHz
32Eric Sprangle, Yale N. Patt Facilitating superscalar processing via a combined static/dynamic register renaming scheme. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF superscalar processors, out-of-order execution, register renaming, predicated execution
32Soo-Mook Moon, Kemal Ebcioglu An efficient resource-constrained global scheduling technique for superscalar and VLIW processors. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF compile-time parallelization, instruction-level parallelism, VLIW, superscalar
25James Laudon, Lawrence Spracklen The Coming Wave of Multithreaded Chip Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, parallel programming, multithreading, Chip multiprocessing
25Ali R. Iranpour, Krzysztof Kuchcinski Performance Improvement for H.264 Video Encoding using ILP Embedded Processor. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25David Wentzlaff, Anant Agarwal Constructing Virtual Architectures on a Tiled Processor. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Ryuichi Takahashi, Hajime Ohiwa Legitimate Peripheral Participation on FPGA for Fine-Grain Microprocessor Design Education. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25You-Jan Tsai, Jong-Jiann Shieh Speculative Issue Logic. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Leonid Oliker, Jonathan Carter, Michael F. Wehner, Andrew Canning, Stéphane Ethier, Arthur A. Mirin, David Parks, Patrick H. Worley, Shigemune Kitawaki, Yoshinori Tsuda Leading Computational Methods on Scalar and Vector HEC Platforms. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Hongzhang Shan, Erich Strohmaier Performance characteristics of the Cray X1 and their implications for application performance tuning. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance measurement, performance optimization, vector processing, performance characterization
25A. Murat Fiskiran, Ruby B. Lee Evaluating Instruction Set Extensions for Fast Arithmetic on Binary Finite Fields. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25T. N. Vijaykumar, Zeshan Chishti Wire Delay is Not a Problem for SMT (In the Near Future). Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25John Patrick McGregor, Ruby B. Lee Architectural techniques for accelerating subword permutations with repetitions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Chen-Yong Cher, T. N. Vijaykumar Skipper: a microarchitecture for exploiting control-flow independence. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Anthony C. J. Fox, Neal A. Harman Algebraic Models of Correctness for Microprocessors. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Formal Verification, Microprocessors, Algebraic Models
25Artur Klauser, Abhijit Paithankar, Dirk Grunwald Selective Eager Execution on the PolyPath Architecture. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Corinna G. Lee, Derek J. DeVries Initial Results on the Performance and Cost of Vector Microprocessors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo, Rebecca L. Stamm Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Pradeep K. Dubey, George B. Adams III, Michael J. Flynn Evaluating Performance Tradeoffs Between Fine-Grained and Coarse-Grained Alternatives. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Krzysztof Marcinek, Arkadiusz W. Luczyk, Witold A. Pleskacz Enhanced LEON3 core for superscalar processing. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Stijn Eyerman, Lieven Eeckhout, James E. Smith 0001 Studying Compiler Optimizations on Superscalar Processors Through Interval Analysis. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Sven van Haastregt, Peter M. W. Knijnenburg Interactive presentation: Feasibility of combined area and performance optimization for superscalar processors using random search. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Andrew Lines The Vortex: A Superscalar Asynchronous Processor. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Elham Safi, Patrick Akl, Andreas Moshovos, Andreas G. Veneris, Aggeliki Arapoyanni On the latency, energy and area of checkpointed, superscalar register alias tables. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF latency, checkpointing, energy, register renaming
25José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Comparing the Performance of a 64-bit Fully-Asynchronous Superscalar Processor versus its Synchronous Counterpart. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Hai Li 0001, Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Nick A. Mould, Brian F. Veale, Monte P. Tull, John K. Antonio Dynamic configuration steering for a reconfigurable superscalar processor. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Junwei Zhou, Andrew J. Mason A two-level hybrid select logic for wide-issue superscalar processors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Clint W. Smullen, Tarek M. Taha PSATSim: an interactive graphical superscalar architecture simulator for power and performance analysis. Search on Bibsonomy WCAE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Guadalupe Miñana, Oscar Garnica, José Ignacio Hidalgo, Juan Lanchares, José Manuel Colmenar Power Reduction of Superscalar Processor Functional Units by Resizing Adder-Width. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Yongxin Zhu 0001, Weng-Fai Wong, Stefan Andrei An integrated performance and power model for superscalar processor designs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Brian F. Veale, John K. Antonio, Monte P. Tull Configuration Steering for a Reconfigurable Superscalar Processor. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Christine Rochange, Pascal Sainrat A time-predictable execution mode for superscalar pipelines with instruction prescheduling. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time, pipeline, WCET, processor architecture
25Dmitry V. Ponomarev, Gurhan Kucuk, Oguz Ergin, Kanad Ghose Energy Efficient Comparators for Superscalar Datapaths. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Oliverio J. Santana, Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero A low-complexity fetch architecture for high-performance superscalar processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fetch architecture, instruction stream, high performance, Branch prediction, low complexity
25Amir Rajabzadeh, Seyed Ghassem Miremadi, Mirzad Mohandespour Error Detection Enhancement in COTS Superscalar Processors with Performance Monitoring Features. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF COTS processors, fault injection, performance monitoring, analytical evaluation, watchdog processor, error detection coverage
25Carlo Brandolese, William Fornaciari, Fabio Salice Discrete-Event Modeling and Simulation of Superscalar Microprocessor Architectures. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Aneesh Aggarwal Single FU Bypass Networks for High Clock Rate Superscalar Processors. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Juan Rubio 0001, Lizy Kurian John Analysis of the Execution of a Next Generation Application on Superscalar and Grid Processors. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Amir Rajabzadeh, Mirzad Mohandespour, Seyed Ghassem Miremadi Error Detection Enhancement in COTS Superscalar Processors with Event Monitoring Features. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Yue Luo, Juan Rubio 0001, Lizy Kurian John, Pattabi Seshadri, Alex E. Mericas Benchmarking Internet Servers on Superscalar Machines. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Kenneth K. C. Lee, Karl-Erwin Großpietsch, Y. K. Chan An Alternative Superscalar Architecture with Integer Execution Units Only. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Miroslav N. Velev Collection of High-Level Microprocessor Bugs from Formal Verification of Pipelined and Superscalar Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Leonid Oliker, Andrew Canning, Jonathan Carter, John Shalf, David Skinner, Stéphane Ethier, Rupak Biswas, M. Jahed Djomehri, Rob F. Van der Wijngaart Evaluation of Cache-based Superscalar and Cacheless Vector Architectures for Scientific Computations. Search on Bibsonomy SC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Daniel Piso Fernandez, José-Alejandro Piñeiro, Javier D. Bruguera Analysis of the Impact of Different Methods for Division/Square Root Computation in the Performance of a Superscalar Microprocessor. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Gabriel H. Loh Exploiting data-width locality to increase superscalar execution bandwidth. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Chee How Lim, W. Robert Daasch, George Cai A Thermal-Aware Superscalar Microprocessor (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Sébastien Nussbaum, James E. Smith 0001 Modeling Superscalar Processors via Statistical Simulation. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Reducing the complexity of the register file in dynamic superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Mauro Olivieri A genetic approach to the design space exploration of superscalar microprocessor architectures. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Jian Huang, David J. Lilja Exploring Sub-Block Value Reuse for Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Yong Zhang, Min Zhang A Novel Superscalar Architecture for Fast DCT Implementation. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Xianfeng Zhou, Margaret Martonosi Augmenting Modern Superscalar Architectures with Configurable Extended Instructions. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Sorin Cotofana, Ben H. H. Juurlink, Stamatis Vassiliadis Counter Based Superscalar Instruction Issuing. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Dana S. Henry, Bradley C. Kuszmaul, Gabriel H. Loh, Rahul Sami Circuits for wide-window superscalar processors. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Jörn Schneider, Christian Ferdinand Pipeline Behavior Prediction for Superscalar Processors by Abstract Interpretation. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction for Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Path-based Selector, Branch Prediction, Value Prediction, Hybrid predictor
25Alan Pita, Nadeem Malik Sectored renaming for superscalar microprocessors. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch
25Sangyeun Cho, Pen-Chung Yew, Gyungho Lee Decoupling Local Variable Accesses in a Wide-Issue Superscalar Processor. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Omar Hammami Performance Impacts of Superscalar Microarchitecture on SOM Execution. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Sorin Cotofana, Stamatis Vassiliadis On the Design Complexity of the Issue Logic of Superscalar Machines. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Scott A. Taylor, Michael Quinn, Darren Brown, Nathan Dohm, Scot Hildebrandt, James Huggins, Carl Ramey Functional Verification of a Multiple-issue, Out-of-Order, Superscalar Alpha Processor - The DEC Alpha 21264 Microprocessor. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF 21264, coverage anaysis, verification, architecture, validation, microprocessor, pseudo-random, Alpha
25Toshihiro Hattori, Yusuke Nitta, Mitsuho Seki, Susumu Narita, Kunio Uchiyama, Tsuyoshi Takahashi, Ryuichi Satomura Design Methodology of a 200MHz Superscalar Microprocessor: SH-4. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF verification, timing, design methodology, microprocessor
25James J. Carrig Jr., Gerard G. L. Meyer Efficient Householder QR Factorization for Superscalar Processors. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Householder QR factorization, register model, cache model
25Derek B. Noonburg, John Paul Shen A Framework for Statistical Modeling of Superscalar Processor Performance. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Craig S. K. Clapp Optimizing a Fast Stream Cipher for VLIW, SIMD, and Superscalar Processors. Search on Bibsonomy FSE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Unnikrishnan R. Nair, Donna J. Quammen, Daniel Tabak Superscalar Extension for the Multris Processor. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Subbarao Palacharla, Norman P. Jouppi, James E. Smith 0001 Complexity-Effective Superscalar Processors. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Anne M. Holler Optimization for a Superscalar Out-of-Order Machine. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Steven Wallace, Nader Bagherzadeh Instruction Fetching Mechanisms for Superscalar Microprocessors. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Richard D. Potter, Gordon B. Steven Investigating the Limits of Fine-Grained Parallelism in a Statically Scheduled Superscalar Architecture. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Eliseu M. Chaves Filho, Edil S. T. Fernandes, Andrew Wolfe Functionality Distribution on a Superscalar Architecture. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Stéphan Jourdan, Pascal Sainrat, Daniel Litaize Exploring Configurations of Functional Units in an Out-of-Order Superscalar Processor. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license