The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for thread with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1988 (18) 1989-1990 (15) 1991 (16) 1992-1993 (27) 1994 (20) 1995 (31) 1996 (28) 1997 (48) 1998 (59) 1999 (93) 2000 (107) 2001 (98) 2002 (142) 2003 (157) 2004 (180) 2005 (247) 2006 (283) 2007 (313) 2008 (328) 2009 (314) 2010 (163) 2011 (109) 2012 (89) 2013 (99) 2014 (117) 2015 (114) 2016 (112) 2017 (82) 2018 (111) 2019 (105) 2020 (109) 2021 (87) 2022 (73) 2023 (86) 2024 (20)
Publication types (Num. hits)
article(850) book(5) data(3) incollection(15) inproceedings(3079) phdthesis(47) proceedings(1)
Venues (Conferences, Journals, ...)
IPDPS(109) CoRR(103) PPoPP(66) ISCA(57) PACT(57) HPCA(49) Euro-Par(47) MICRO(47) ASPLOS(46) IEEE Trans. Parallel Distribut...(46) PLDI(46) ICPP(44) ICS(43) ICCD(30) Conf. Computing Frontiers(29) ACM Trans. Archit. Code Optim.(28) More (+10 of total 1202)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3438 occurrences of 1368 keywords

Results
Found 4000 publication records. Showing 4000 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41Hung-Chang Hsiao, Chung-Ta King The Thread-Based Protocol Engines for CC-NUMA Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Boris Weissman Performance Counters and State Sharing Annotations: a Unified Approach to Thread Locality. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
40Md. Mafijul Islam, Alexander Busck, Mikael Engbom, Simji Lee, Michel Dubois 0001, Per Stenström Loop-level Speculative Parallelism in Embedded Applications. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Zhichen Xu, Barton P. Miller, Oscar Naim Dynamic Instrumentation of Threaded Applications. Search on Bibsonomy PPoPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Guangzuo Cui, Mingzeng Hu, Xiaoming Li Parallel Replacement Mechanism for MultiThread. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37Kang-Lyul Lee, Hong Nhat Pham, Hee-seong Kim, Hee Yong Youn, Ohyoung Song A Novel Predictive and Self - Adaptive Dynamic Thread Pool Management. Search on Bibsonomy ISPA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF dynamic thread management, thread pool, prediction, resource management, multithreading, queuing model
37Chonglei Mei, Hai Jiang 0003, Jeff Jenness Pitcher: Enabling Distributed Parallel Computing with Automatic Thread and Data Assignments. Search on Bibsonomy AINA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thread bundles, Multithreading, data mapping, thread scheduling
37Fadi N. Sibai Simulation and Performance Analysis of Multi-core Thread Scheduling and Migration Algorithms. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thread migration policies, thread scheduling, multi-core systems
37Uwe Brinkschulte, C. Krakowski, Jochen Kreuzinger, Theo Ungerer A Multithreaded Java Microcontroller for Thread-Oriented Real-Time Event Handling. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multithreaded microcontroller, interrupt service thread, thread-oriented event-handling, real-time, java processor, proportional share
37Anasua Bhowmik, Manoj Franklin A general compiler framework for speculative multithreading. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TLP compiler, thread formation, parallelization, data dependence, thread-level parallelism (TLP), control dependence, speculative multithreading (SpMT)
37Sachin More, Alok N. Choudhary, Ian T. Foster, Ming Q. Xu MTIO - A Multi-Threaded Parallel I/O System. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MTIO, multi-threaded runtime library, computation tasks, asynchronous I/O, copy avoidance, I/O thread, compute thread, optimal buffer size, large requests, performance, load balance, concurrency, file system, data distributions, software libraries, communication overhead, access patterns, collective I/O, IBM SP2, shared address space, parallel I/O system
37Chao-Tung Yang, Shian-Shyong Tseng, Shih-Hung Kao, Ming-Hui Hsieh, Mon-Fong Jiang Run-time parallelization for partially parallel loops. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF run-time parallelization, partially parallel loops, run-time technique, inspector-executor scheme, DEF-USE table, auto-adapted function, tailored thread number, fixed thread number, complex data dependency patterns, parallelism, synchronisation, wavefronts, loop iterations
36Arun Kejariwal, Milind Girkar, Xinmin Tian, Hideki Saito 0001, Alexandru Nicolau, Alexander V. Veidenbaum, Utpal Banerjee, Constantine D. Polychronopoulos Exploitation of nested thread-level speculative parallelism on multi-core systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, thread-level speculation
36Juan Carlos Díaz Martín, Juan A. Rico-Gallego, Jesús M. Álvarez Llorente, J. F. Perogil Duque An MPI-1 Compliant Thread-Based Implementation. Search on Bibsonomy PVM/MPI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thread-based MPI implementation, multicore architectures
36Krishna K. Rangan, Gu-Yeon Wei, David M. Brooks Thread motion: fine-grained power management for multi-core systems. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core power management, thread motion, dvfs
36Alan D. Fekete Teaching students to develop thread-safe java classes. Search on Bibsonomy ITiCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data interference, thread-safety, concurrency, synchronization, race conditions
36Yi-Neng Lin, Ying-Dar Lin, Yuan-Cheng Lai Thread Allocation in Chip Multiprocessor Based Multithreaded Network Processors. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thread allocation, simulation, modeling, Petri net, chip multiprocessor
36Nagendra J. Kumar, Vasanth Asokan, Siddhartha Shivshankar, Alexander G. Dean Efficient software implementation of embedded communication protocol controllers using asynchronous software thread integration with time- and space-efficient procedure calls. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous software thread integration, J1850, software-implemented communication protocol controllers, hardware to software migration, fine-grain concurrency
36Young-Joo Kim, Jae-Seon Lim, Yong-Kee Jun Scalable Thread Visualization for Debugging Data Races in OpenMP Programs. Search on Bibsonomy GPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OpenMP programs, data race debugging, scalable thread visualization, three-dimensional visualization
36Haitao Dan, Robert M. Hierons, Steve Counsell A Thread-tag Based Semantics for Sequence Diagrams. Search on Bibsonomy SEFM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Partially ordered multiset, Thread tag, Semantics, Concurrency, Object-Oriented, Sequence Diagram
36Alexander G. Dean Software thread integration for embedded system display applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware to software migration, fine-grain concurrency, software thread integration
36Alban Ponse, Mark van der Zwaag An Introduction to Program and Thread Algebra. Search on Bibsonomy CiE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Program Algebra, PGA, Thread Algebra
36Matthew D. Roper, Ronald A. Olsson Developing embedded multi-threaded applications with CATAPULTS, a domain-specific language for generating thread schedulers. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF application-specific schedulers, domain-specific languages, thread scheduling, user-level threads
36Manohar K. Prabhu, Kunle Olukotun Exposing speculative thread parallelism in SPEC2000. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation
36Wendy E. Mackay The interactive thread: exploring methods for multi-disciplinary design. Search on Bibsonomy Conference on Designing Interactive Systems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interactive thread, storytable, participatory design, scenario, design methods, user-centred design, empirical methods, storyboard, technology probe, interdisciplinary design, multidisciplinary design
36Anasua Bhowmik, Manoj Franklin Exploiting Data Value Prediction in Compiler Based Thread Formation. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data value prediction, parallelization, profiling, data dependency, thread-level parallelism (TLP), speculative multithreading (SpMT)
36Alexander G. Dean, John Paul Shen System-Level Issues for Software Thread Integration: Guest Triggering and Host Selection. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Thread Integration, Real-time Systems, Embedded Systems, Compilers, Multithreading, Hardware to Software Migration
36Luc Bougé, Philip J. Hatcher, Raymond Namyst, Christian Pérez A Multithreaded Runtime Environment with Thread Migration for a HPF Data-Parallel Compiler. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Load Balancing, Compilation, Thread migration, Data-parallel languages
36Torsten Hoefler, Andrew Lumsdaine Message progression in parallel computing - to thread or not to thread? Search on Bibsonomy CLUSTER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Manish Sethi, Ashok Anand On concurrency improvements in enterprise SOA middleware. Search on Bibsonomy Middleware (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SOA middleware, distributed thread allocation
36Satoshi Amamiya, Masaaki Izumi, Takanori Matsuzaki, Ryuzo Hasegawa, Makoto Amamiya Fuce: the continuation-based multithreading processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF continuation-based multithread programming, multithreading, thread-level parallelism, chip multi-processor
36Werner Geyer, Andrew J. Witt, Eric Wilcox, Michael J. Muller, Bernard Kerr, Beth Brownholtz, David R. Millen Chat spaces. Search on Bibsonomy Conference on Designing Interactive Systems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF navigation, thread, conversation, chat, shared workspace, activity-centric collaboration
36Kiyokuni Kawachiya, Akira Koseki, Tamiya Onodera Lock reservation: Java locks can mostly do without atomic operations. Search on Bibsonomy OOPSLA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF thread locality, Java, synchronization, monitor, lock, reservation, atomic operation
36Sara Bouchenak, Daniel Hagimont Pickling Threads State in the Java System. Search on Bibsonomy TOOLS (33) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Java, thread migration
35Paulo Moura, Ricardo Rocha 0001, Sara C. Madeira High Level Thread-Based Competitive Or-Parallelism in Logtalk. Search on Bibsonomy PADL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF speculative threading, implementation, Or-parallelism
35Chonglei Mei, Hai Jiang 0003, Jeff Jenness MTS: Multiresolution Thread Selection for Parallel Workload Distribution. Search on Bibsonomy GPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Josh Berdine, Tal Lev-Ami, Roman Manevich, G. Ramalingam, Shmuel Sagiv Thread Quantification for Concurrent Shape Analysis. Search on Bibsonomy CAV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Yingchun Lei, Wen Zhang, Yili Gong, Huyin Zhang MEANS: A Micro-thrEad Architecture for Network Server. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Performance, Architecture, Concurrence, Server, I/O
35Takeshi Ogasawara Dynamic Thread Count Adaptation for Multiple Services in SMP Environments. Search on Bibsonomy ICWS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Brian Greskamp, Josep Torrellas Paceline: Improving Single-Thread Performance in Nanoscale CMPs through Core Overclocking. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Arun Kejariwal, Xinmin Tian, Milind Girkar, Wei Li 0015, Sergey Kozhukhov, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos Tight analysis of the performance potential of thread speculation using spec CPU 2006. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF conflict probability, misspeculation penalty, threading overhead, performance evaluation, speculative execution
35Yu Guo, Xinyu Jiang, Yiyun Chen, Chunxiao Lin A Certified Thread Library for Multithreaded User Programs. Search on Bibsonomy TASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35William D. Gropp, Rajeev Thakur Issues in Developing a Thread-Safe MPI Implementation. Search on Bibsonomy PVM/MPI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Joseph Antony, Pete P. Janes, Alistair P. Rendell Exploring Thread and Memory Placement on NUMA Architectures: Solaris and Linux, UltraSPARC/FirePlane and Opteron/HyperTransport. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Shaoliang Peng, Shanshan Li 0001, Xiangke Liao, Yuxing Peng 0001, Ye Hui Feedback Control with Prediction for Thread Allocation in Pipeline Architecture Web Server. Search on Bibsonomy ICDCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Matthew De Vuyst, Rakesh Kumar 0002, Dean M. Tullsen Exploiting unbalanced thread scheduling for energy and performance on a CMP of SMT processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Changhee Jung, Daeseob Lim, Jaejin Lee, Yan Solihin Helper thread prefetching for loosely-coupled multiprocessor systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Eric Petit 0003, François Bodin, Guillaume Papaure, Florence Dru Poster reception - ASTEX: a hot path based thread extractor for distributed memory system on a chip. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Huiyang Zhou Dual-Core Execution: Building a Highly Scalable Single-Thread Instruction Window. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Juan del Cuvillo, Weirong Zhu, Ziang Hu, Guang R. Gao TiNy Threads: A Thread Virtual Machine for the Cyclops64 Cellular Architecture. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Vlad Petric, Amir Roth Energy-Effectiveness of Pre-Execution and Energy-Aware P-Thread Selection. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Thomas A. Henzinger, Ranjit Jhala, Rupak Majumdar, Shaz Qadeer Thread-Modular Abstraction Refinement. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Michael K. Chen, Kunle Olukotun TEST: A Tracer for Extracting Speculative Thread. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35André Rauber Du Bois, Hans-Wolfgang Loidl, Philip W. Trinder Thread Migration in a Parallel Graph Reducer. Search on Bibsonomy IFL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Thomas Nitsche 0001 Thread Communication over MPI. Search on Bibsonomy PVM/MPI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Stijn Eyerman, Lieven Eeckhout Memory-level parallelism aware fetch policies for simultaneous multithreading processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fetch Policy, Simultaneous Multithreading (SMT), Memory-Level Parallelism (MLP)
35Malika Benammar, Faiza Belala, Fateh Latreche AADL behavioral annex based on generalized rewriting logic. Search on Bibsonomy RCIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Stijn Eyerman, Lieven Eeckhout A Memory-Level Parallelism Aware Fetch Policy for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Nobuyuki Yamasaki, Ikuo Magaki, Tsutomu Itou Prioritized SMT Architecture with IPC Control Method for Real-Time Processing. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Kyungwoo Lee, Samuel P. Midkiff A two-phase escape analysis for parallel java programs. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compilation models, java, memory models, consistency models, escape analysis
35Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Tolerating Dependences Between Large Speculative Threads Via Sub-Threads. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Yonghong Song, Spiros Kalogeropulos, Partha Tirumalai Design and Implementation of a Compiler Framework for Helper Threading on Multi-core Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Jiwei Lu, Abhinav Das, Wei-Chung Hsu, Khoa Nguyen, Santosh G. Abraham Dynamic Helper Threaded Prefetching on the Sun UltraSPARC CMP Processor. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Francisco J. Cazorla, Alex Ramírez, Mateo Valero, Enrique Fernández Dynamically Controlled Resource Allocation in SMT Processors. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Ashvin Goel, Jonathan Walpole, Molly H. Shor Real-Rate Scheduling. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Eric F. Weglarz, Kewal K. Saluja, T. M. Mak Testing of Hard Faults in Simultaneous Multithreaded Processors. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Jinmin Yang, Dafang Zhang, Xue Dong Yang User-Level Implementation of Checkpointing for Multithreaded Applications on Windows NT. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35J. Robert von Behren, Jeremy Condit, Feng Zhou, George C. Necula, Eric A. Brewer Capriccio: scalable threads for internet services. Search on Bibsonomy SOSP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF blocking graph, dynamic stack growth, linked stack management, resource-aware scheduling, user-level threads
35Yen-Teh Hsia Curricular Automata and Their Applications. Search on Bibsonomy ICALT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Michael K. Chen, Kunle Olukotun The Jrpm System for Dynamically Parallelizing Java Programs. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Jamison D. Collins, Suleyman Sair, Brad Calder, Dean M. Tullsen Pointer cache assisted prefetching. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Michael L. Scott Non-blocking timeout in scalable queue-based spin locks. Search on Bibsonomy PODC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF spin locks, scalability, synchronization, timeout
35Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Dynamically allocating processor resources between nearby and distant ILP. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Kritchalach Thitikamol, Peter J. Keleher Active Correlation Tracking. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scheduling, multi-threading, DSM
35Krishna M. Kavi, Hyong-Shik Kim, Joseph Arul, Ali R. Hurson A Decoupled Scheduled Dataflow Multithreaded Architecture. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Decoupling of memory access, Separate synchronization processor, Multithreaded architecture, Memory wall, Dataflow architecture
35Raoul Bhoedjang, Koen Langendoen Friendly and Efficient Message Handling. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
35Bo Ingvar Sandén Design of Concurrent Software Based on Problem Concurrency. Search on Bibsonomy Ada-Europe The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
35Robert Cooper Experience with Causally and Totally Ordered Communication Support, A cautionary tale. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Carl Hauser, Christian Jacobi, Marvin Theimer, Brent B. Welch, Mark D. Weiser Using Threads in Interactive Systems: A Case Study. Search on Bibsonomy SOSP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF UNIX, X-Window, MESA
35Oscar Nierstrasz Two models of concurrent objects. Search on Bibsonomy OOPSLA/ECOOP Workshop on Object-based Concurrent Programming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
31Arun Kejariwal, Milind Girkar, Xinmin Tian, Hideki Saito 0001, Alexandru Nicolau, Alexander V. Veidenbaum, Utpal Banerjee, Constantine D. Polychronopoulos On the efficacy of call graph-level thread-level speculation. Search on Bibsonomy WOSP/SIPEW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, thread-level speculation
31Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge, Krisztián Flautner Evolution of thread-level parallelism in desktop applications. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF benchmarking, multi-core, thread level parallelism, desktop applications
31Cosmin E. Oancea, Alan Mycroft, Tim Harris 0001 A lightweight in-place implementation for software thread-level speculation. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF roll-back, thread-level speculation (tls)
31Ronny Krashinsky, Christopher Batten, Krste Asanovic Implementing the scale vector-thread processor. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hybrid C++/Verilog simulation, iterative VLSI design flow, procedural datapath pre-placement, vector-thread processors, multithreaded processors, Vector processors
31Jérôme Vouillon Lwt: a cooperative thread library. Search on Bibsonomy ML The full citation details ... 2008 DBLP  DOI  BibTeX  RDF networking, concurrency, programming, implementation, ml, thread, monad, objective caml
31Ork de Rooij, Cees G. M. Snoek, Marcel Worring Balancing thread based navigation for targeted video search. Search on Bibsonomy CIVR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF conceptual similarity, thread based browsing, video retrieval, interactive search
31Zane D. Purvis, Alexander G. Dean TOSSTI: Saving Time and Energy in TinyOS with Software Thread Integration. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AVR, wireless sensor network, TinyOS, Software thread integration
31Diego Alonso, Cristina Vicente-Chicote, Juan Angel Pastor, Bárbara Álvarez StateML+s: From Graphical State Machine Models to Thread-Safe Ada Code. Search on Bibsonomy Ada-Europe The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Model-To-Text Transformation, Thread-Safe Code Generation, Eclipse platform, Finite State Machines, Model-Driven Engineering
31Jan A. Bergstra, Cornelis A. Middelburg Thread algebra for strategic interleaving. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interleaving strategies, Services, Threads, Multi-threading, Deadlock freedom, Thread algebra
31Feng Xian, Witawas Srisa-an, Hong Jiang 0001 Allocation-phase aware thread scheduling policies to improve garbage collection performance. Search on Bibsonomy ISMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF garbage collection, thread scheduling
31Haitao Dan, Robert M. Hierons, Steve Counsell Thread-Based Analysis of Sequence Diagrams. Search on Bibsonomy FORTE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Thread tags, Semantics, Concurrency, Object Oriented, Partial Orders, Sequence Diagram
31Sewon Moon, Byeong-Mo Chang A thread monitoring system for multithreaded Java programs. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Java, Java, synchronization, monitoring, thread
31Jose Renau, Karin Strauss, Luis Ceze, Wei Liu 0014, Smruti R. Sarangi, James Tuck 0001, Josep Torrellas Energy-Efficient Thread-Level Speculation. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF out-of-order task spawning, chip multiprocessors, Thread-level speculation
31Dou Shen, Qiang Yang 0001, Jian-Tao Sun, Zheng Chen 0001 Thread detection in dynamic text message streams. Search on Bibsonomy SIGIR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF single-pass clustering, text stream, thread detection, linguistic features
31J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry The STAMPede approach to thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing
31María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Caching and buffering support, memory hierarchies, shared-memory multiprocessors, thread-level speculation, coherence protocol
31Won So, Alexander G. Dean Complementing software pipelining with software thread integration. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TI C6000, DSP, software pipelining, VLIW, stream programming, coarse-grain parallelism, software thread integration
31Fredrik Warg, Per Stenström Improving Speculative Thread-Level Parallelism Through Module Run-Length Prediction. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF module-level parallelism, module run-length prediction, performance evaluation, Multiprocessors, thread-level speculation
31Li-Ling Chen, Youfeng Wu Aggressive Compiler Optimization and Parallelization with Thread-Level Speculation. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance architecture and region formation, compiler optimizations, speculative execution, thread-level parallelism
30Satoshi Amamiya, Makoto Amamiya, Ryuzo Hasegawa, Hiroshi Fujita 0002 A continuation-based noninterruptible multithreading processor architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel processing, Multithreading, Processor architecture, Thread level parallelism, Multithreaded programming
Displaying result #101 - #200 of 4000 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license