The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Svetla Boytcheva, Galia Angelova, Zhivko Angelov, Dimitar Tcharaktchiev Data Mining and Analytics for Exploring Bulgarian Diabetic Register. Search on Bibsonomy DAMDID/RCDL (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Veronika Laippala, Juhani Luotolahti, Aki-Juhani Kyröläinen, Tapio Salakoski, Filip Ginter Creating register sub-corpora for the Finnish Internet Parsebank. Search on Bibsonomy NODALIDA The full citation details ... 2017 DBLP  BibTeX  RDF
11Gustavo Armando Guancha Taquez, Octavio José Salcedo Parra, Brayan Steven Reyes Daza Data Register for the Automobile Control Flow in Real-Time Using UAV. Search on Bibsonomy WEA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Sparsh Mittal, Haonan Wang, Adwait Jog, Jeffrey S. Vetter Design and Analysis of Soft-Error Resilience Mechanisms for GPU Register File. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Leo Nicolle, Julien Bonneton, Hubert Konik, Damien Muselet, Laure Tougne Towards an electronic orientation table: using features extracted from the image to register Digital Elevation Model. Search on Bibsonomy VISIGRAPP (6: VISAPP) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Jan Schat Soft-error detection in Register Files using circular scan. Search on Bibsonomy DTIS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Sumanth Gudaparthi, Rahul Shrestha Energy-Efficient VLSI Architecture & Implementation of Bi-modal Multi-banked Register-File Organization. Search on Bibsonomy VDAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Mauro Ianni, Alessandro Pellegrini 0001, Francesco Quaglia A Wait-Free Multi-word Atomic (1, N) Register for Large-Scale Data Sharing on Multi-core Machines. Search on Bibsonomy CLUSTER The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Ioannis Seitanidis, Giorgos Dimitrakopoulos, Pavlos M. Mattheakis, Laurent Masse-Navette, David G. Chinnery Timing Driven Incremental Multi-Bit Register Composition Using a Placement-Aware ILP formulation. Search on Bibsonomy DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Thomas Winn Dabbs The Extended Language of Religious Reform: Marking Up a Register for Early Modern Sermons. Search on Bibsonomy DH The full citation details ... 2017 DBLP  BibTeX  RDF
11Bogdan Ludusan, Reiko Mazuka, Mathieu Bernard, Alejandrina Cristià, Emmanuel Dupoux The Role of Prosody and Speech Register in Word Segmentation: A Computational Modelling Perspective. Search on Bibsonomy ACL (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Elke Teich, Stefania Degaetano-Ortlieb, Peter Fankhauser, Hannah Kermes, Ekaterina Lapshinova-Koltunski The linguistic construal of disciplinarity: A data-mining approach using register features. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Jaydeep P. Kulkarni, Carlos Tokunaga, Paolo A. Aseron, Trang Nguyen, Charles Augustine, James W. Tschanz, Vivek De A 409 GOPS/W Adaptive and Resilient Domino Register File in 22 nm Tri-Gate CMOS Featuring In-Situ Timing Margin and Error Detection for Tolerance to Within-Die Variation, Voltage Droop, Temperature and Aging. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Abdulaziz Eker, Oguz Ergin Exploiting Existing Copies in Register File for Soft Error Correction. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Khawar Sarfraz, Mansun Chan A compact low-power 4-port register file with grounded write bitlines and single-ended read operations. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Diego Figueira, Piotr Hofman, Slawomir Lasota 0001 Relating timed and register automata. Search on Bibsonomy Math. Struct. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Boris Murmann The successive approximation register ADC: a versatile building block for ultra-low- power to ultra-high-speed applications. Search on Bibsonomy IEEE Commun. Mag. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Yun Liang 0001, Shuo Wang 0009 Performance-Centric Optimization for Racetrack Memory Based Register File on GPUs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Michael Moeng, Haifeng Xu, Rami G. Melhem, Alex K. Jones ContextPreRF: Enhancing the Performance and Energy of GPUs With Nonuniform Register Access. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Yung-Hsiang Ho, Chia-Yu Yao A Fast-Acquisition All-Digital Delay-Locked Loop Using a Starting-Bit Prediction Algorithm for the Successive-Approximation Register. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Wei-Kai Cheng, Jui-Hung Hung, Yi-Hsuan Chiu Non-Uniform Clock Mesh Synthesis with Clock Gating and Register Clustering. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Masaki Nakanishi, Miki Matsuyama, Yumi Yokoo A Fast Quantum Computer Simulator Based on Register Reordering. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Petr Sosík, Miroslav Langer Small (purely) catalytic P systems simulating register machines. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Jingweijia Tan, Mingsong Chen, Yang Yi 0002, Xin Fu Mitigating the Impact of Hardware Variability for GPGPUs Register File. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Licheng Yu, Yulong Pei, Tianzhou Chen, Minghui Wu 0001 Architecture supported register stash for GPGPU. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Yilin Zhang, Wei-Ming Lin Efficient resource sharing algorithm for physical register file in simultaneous multi-threading processors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Liping Wang, Guang Zeng On the matrix feedback shift register synthesis for matrix sequences. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Vladimir V. Sergeichik, Alexander A. Ivaniuk Digital watermark and fingerprint in variable rank linear-feedback shift register. Search on Bibsonomy Autom. Control. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Yi-Ping You, Szu-Chien Chen VecRA: A Vector-Aware Register Allocator for GPU Shader Processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Hang Zhang, Xuhao Chen 0001, Nong Xiao, Lei Wang 0011, Fang Liu 0002, Wei Chen 0009, Zhiguang Chen Shielding STT-RAM Based Register Files on GPUs against Read Disturbance. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Sebastien Bernard, Marc Belleville, Jean-Didier Legat, Alexandre Valentian, David Bol Ultra-wide voltage range pulse-triggered flip-flops and register file with tunable energy-delay target in 28 nm UTBB-FDSOI. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Chang Xu 0005, Guojie Luo, Peixin Li, Yiyu Shi 0001, Iris Hui-Ru Jiang Analytical Clustering Score with Application to Postplacement Register Clustering. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Jingweijia Tan, Zhi Li 0016, Mingsong Chen, Xin Fu Exploring Soft-Error Robust and Energy-Efficient Register File in GPGPUs using Resistive Memory. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Ruijie Fang, Siqi Liu A Performance Survey on Stack-based and Register-based Virtual Machines. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
11Patricia Bouyer, Nicolas Markey, Mickael Randour, Arnaud Sangnier, Daniel Stan Reachability in Networks of Register Protocols under Stochastic Schedulers. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
11Achour Mostéfaoui, Michel Raynal Time-Efficient Read/Write Register in Crash-prone Asynchronous Message-Passing Systems. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
11Silvia Bonomi, Antonella Del Pozzo, Maria Potop-Butucaru, Sébastien Tixeuil Self-Stabilizing Mobile Byzantine-Tolerant Regular Register with bounded timestamp. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
11Radu Grigore, Nikos Tzevelekos History-Register Automata Search on Bibsonomy Log. Methods Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Gaétan de Rassenfosse, Martin Kracker, Gianluca Tarasconi Getting Started with PATSTAT Register. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
11Motoya Machida, Alexander Y. Shibakov Stack and register complexity of radix conversions. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
11Marcelo Cicconet, David G. C. Hildebrand, Hunter Elliott To Find the Symmetry Plane in Any Dimension, Reflect, Register, and Compute a -1 Eigenvector. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
11Sanjay Burman, Seetal Potluri, Debdeep Mukhopadhyay, Kamakoti Veezhinathan Power Consumption versus Hardware Security: Feasibility Study of Differential Power Attack on Linear Feedback Shift Register Based Stream Ciphers and Its Countermeasures. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Santosh Koppa, Manouchehr Mohandesi, Eugene John An Ultra-Low Power Charge Redistribution Successive Approximation Register A/D Converter for Biomedical Applications. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Samaneh Mashhadi Share secrets stage by stage with homogeneous linear feedback shift register in the standard model. Search on Bibsonomy Secur. Commun. Networks The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Deepa Yagain, A. Vijaya Krishna Framework for Digital Filter Design Optimization (DiFiDOT) using MCM Based Register Minimization Retiming for Noise Removal ECG Filters. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Elena Dubrova, Maxim Teslenko A SAT-Based Algorithm for Finding Short Cycles in Shift Register Based Stream Ciphers. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2016 DBLP  BibTeX  RDF
11Merlin Carl Randomness and degree theory for infinite time register machines. Search on Bibsonomy Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Donghwan Jeong, Young H. Oh, Jae W. Lee, Yongjun Park 0001 An eDRAM-Based Approximate Register File for GPUs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Florina Maria Gabor-Harosa, Ovidiu Petru Stan, Lucia Daina, Floarea Mocean Proposed model for a Romanian register of chronic diseases in children. Search on Bibsonomy Comput. Methods Programs Biomed. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Zhihua Chen, Juan-juan He, Ying Zheng, Tao Song, Zhonghua Deng An Optimized Feedforward Decoupling PD Register Control Method of Roll-to-Roll Web Printing Systems. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Neil Burroughs Register allocation and spilling using the expected distance heuristic. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Ge Wei, Jinjiang Yang, Jun Yang 0006 High performance and area efficiency design of global register file for coarse-grained reconfigurable cryptographic processor. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Toshihiro Takahashi, Ryo Numaguchi, Yuki Yamanashi, Nobuyuki Yoshikawa High-speed demonstration of low-power 1 k-bit shift-register memories using LR-biasing SFQ circuits. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Josef Eisl, Matthias Grimmer, Doug Simon, Thomas Würthinger, Hanspeter Mössenböck Trace-based Register Allocation in a JIT Compiler. Search on Bibsonomy PPPJ The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Thomas Windbacher, Alexander Makarov, Viktor Sverdlov, Siegfried Selberherr The exploitation of magnetization orientation encoded spin-transfer torque for an ultra dense non-volatile magnetic shift register. Search on Bibsonomy ESSDERC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Jingweijia Tan, Shuaiwen Leon Song, Kaige Yan, Xin Fu, Andrès Márquez, Darren J. Kerbyson Combating the Reliability Challenge of GPU Register File at Low Supply Voltage. Search on Bibsonomy PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Khawar Sarfraz, Mansun Chan A voltage-scalable zero-delay-overhead scheme for standby power reduction in dynamic register files. Search on Bibsonomy MWSCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Ningxi Liu, Benton H. Calhoun Design Optimization of Register File Throughput and Energy Using a Virtual Prototyping (ViPro) Tool. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Ehsan Atoofian A low power STT-RAM based register file for GPGPUs. Search on Bibsonomy SAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Parvaneh Babari, Manfred Droste, Vitaly Perevoshchikov Weighted Register Automata and Weighted Logic on Data Words. Search on Bibsonomy ICTAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Tuo Li 0001, Jude Angelo Ambrose, Sri Parameswaran RECORD: Reducing register traffic for checkpointing in embedded processors. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
11Ang Li 0006, Shuaiwen Leon Song, Akash Kumar 0001, Eddy Z. Zhang, Daniel G. Chavarría-Miranda, Henk Corporaal Critical points based register-concurrency autotuning for GPUs. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
11Arthur Perais, Fernando A. Endo, André Seznec Register sharing for equality prediction. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Naifeng Jing, Jianfei Wang, Fengfeng Fan, Wenkang Yu, Li Jiang 0002, Chao Li 0009, Xiaoyao Liang Cache-emulated register file: An integrated on-chip memory architecture for high performance GPGPUs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Arthur Perais, André Seznec Cost effective physical register sharing. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Mengyao Jin, Haohuan Fu, Zihong Lv, Guangwen Yang Libra: an automated code generation and tuning framework for register-limited stencils on GPUs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Laure Daviaud, Pierre-Alain Reynier, Jean-Marc Talbot A Generalised Twinning Property for Minimisation of Cost Register Automata. Search on Bibsonomy LICS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Shuo Wang 0009, Yun Liang 0001, Chao Zhang 0007, Xiaolong Xie, Guangyu Sun 0003, Yongpan Liu, Yu Wang 0002, Xiuhong Li Performance-centric register file design for GPUs using racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Menghui Xu, Xiao Liang 0005, Chuan Zhang 0001, Zhizheng Wu 0003, Xiaohu You 0001 Stochastic BP Polar Decoding and Architecture with Efficient Re-Randomization and Directive Register. Search on Bibsonomy SiPS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Patricia Bouyer, Nicolas Markey, Mickael Randour, Arnaud Sangnier, Daniel Stan Reachability in Networks of Register Protocols under Stochastic Schedulers. Search on Bibsonomy ICALP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Roberto Castañeda Lozano, Mats Carlsson, Gabriel Hjort Blindell, Christian Schulte 0001 Register allocation and instruction scheduling in Unison. Search on Bibsonomy CC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Lukasz Domagala, Duco van Amstel, Fabrice Rastello, P. Sadayappan Register allocation and promotion through combined instruction scheduling and loop unrolling. Search on Bibsonomy CC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Wei He, Jakub Breier, Shivam Bhasin, Dirmanto Jap, Hock Guan Ong, Chee Lip Gan Comprehensive Laser Sensitivity Profiling and Data Register Bit-Flips for Cryptographic Fault Attacks in 65 Nm FPGA. Search on Bibsonomy SPACE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Silvia Bonomi, Antonella Del Pozzo, Maria Potop-Butucaru Tight self-stabilizing mobile byzantine-tolerant atomic register. Search on Bibsonomy ICDCN The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Jori Winderickx, Joan Daemen, Nele Mentens Exploring the use of shift register lookup tables for Keccak implementations on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Sang-Chul Kim Software monitoring system for register marker detection. Search on Bibsonomy ICUFN The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Atieh Zarabzadeh, Ronan McDonnell, Stefan Paz Berrios, John Dempsey, Emma Harrington, Susan M. Smith, Tom Fahey Utility of Electronic International Register of Clinical Prediction Rules Relevant to Primary Care. Search on Bibsonomy CBMS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11You Zhou 0003, Yian Zhou, Min Chen 0007, Qingjun Xiao, Shigang Chen Highly Compact Virtual Counters for Per-Flow Traffic Measurement through Register Sharing. Search on Bibsonomy GLOBECOM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Parvaneh Babari, Karin Quaas, Mahsa Shirmohammadi Synchronizing Data Words for Register Automata. Search on Bibsonomy MFCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Teng Yang, Peter R. Kinget, Mingoo Seok Register file circuits and post-deployment framework to monitor aging effects in field. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Achour Mostéfaoui, Michel Raynal Time-Efficient Read/Write Register in Crash-Prone Asynchronous Message-Passing Systems. Search on Bibsonomy NETYS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Markus Weinhardt Comparing Register-Transfer-, C-, and System-Level Implementations of an Image Enhancement Algorithm. Search on Bibsonomy ARC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Víctor H. Flores-Morales, Byron G. Contreras-Bermeo, Freddy L. Bueno-Palomeque, Luis Javier Serpa-Andrade Analysis of a Mobile System to Register the Kinematic Parameters in Ankle, Knee, and Hip based in Inertial Sensors. Search on Bibsonomy icSPORTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Eli Ben-Sasson, Matan Hamilis, Mark Silberstein, Eran Tromer Fast Multiplication in Binary Fields on GPUs via Register Cache. Search on Bibsonomy ICS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Shao-Chung Wang, Li-Chen Kan, Yuan-Shin Hwang, Jenq Kuen Lee Energy Efficient Affine Register File for GPU Microarchitecture. Search on Bibsonomy ICPP Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Mengyao Jin, Haohuan Fu, Zihong Lv, Guangwen Yang Graph-Oriented Code Transformation Approach for Register-Limited Stencils on GPUs. Search on Bibsonomy CCGrid The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Filip Mazowiecki, Cristian Riveros Copyless Cost-Register Automata: Structure, Expressiveness, and Closure Properties. Search on Bibsonomy STACS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Kathrin Bögelsack, Sven Michaelis Mapping Norway - A Method to Register and Survey the Status of Accessibility. Search on Bibsonomy UD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Ehsan Atoofian Temperature-Aware Register Mapping in GPGPUs. Search on Bibsonomy Trustcom/BigDataSE/ISPA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Tianjian Li, Li Jiang 0002, Naifeng Jing, Nam Sung Kim, Xiaoyao Liang CNFET-based high throughput register file architecture. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Elliott Forbes, Eric Rotenberg Fast register consolidation and migration for heterogeneous multi-core processors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Travis Meade, Yier Jin, Mark M. Tehranipoor, Shaojie Zhang Gate-level netlist reverse engineering for hardware security: Control logic register identification. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Miguel Garcia-Bosque, Carlos Sánchez-Azqueta, Santiago Celma Secure communication system based on a logistic map and a linear feedback shift register. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Yasuhiro Ogasahara, Yohei Hori, Hanpei Koike Implementation of pseudo linear feedback shift register physical unclonable function on silicon. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Abhishek Roy 0002, Benton H. Calhoun Exploring circuit robustness to power supply variation in low-voltage latch and register-based digital systems. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Tiago Oliveira 0008, Ricardo Mendes, Alysson Neves Bessani Exploring Key-Value Stores in Multi-Writer Byzantine-Resilient Register Emulations. Search on Bibsonomy OPODIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Kyle Guan, Junho Cho, Peter J. Winzer A computationally efficient shift-register based information scrambling approach to physical layer security in MIMO-SDM systems. Search on Bibsonomy OFC The full citation details ... 2016 DBLP  BibTeX  RDF
11Inhak Han, Jonggyu Kim, Joonhwan Yi, Youngsoo Shin Register grouping for synthesis of clock gating logic. Search on Bibsonomy ICICDT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Salin Junsangsri, Jie Han 0001, Fabrizio Lombardi A Design of a Non-Volatile PMC-Based (Programmable Metallization Cell) Register File. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Hang Zhang, Xuhao Chen 0001, Nong Xiao, Fang Liu 0002, Zhiguang Chen Red-Shield: Shielding Read Disturbance for STT-RAM Based Register Files on GPUs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #1701 - #1800 of 5677 (100 per page; Change: )
Pages: [<<][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][24][25][26][27][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license