The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Fanchen Zhang, Micah Thornton, Jennifer Dworak When Optimized N-Detect Test Sets are Biased: An Investigation of Cell-Aware-Type Faults and N-Detect Stuck-At ATPG. Search on Bibsonomy NATW The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17X. Cai, Peter Wohl, Daniel Martin 0002 Fault sharing in a copy-on-write based ATPG system. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Masahiro Fujita, Alan Mishchenko Efficient SAT-based ATPG techniques for all multiple stuck-at faults. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Rahul Shukla, Phong Loi, Ken Pham, Arie Margulis, Kathy Yang, Nagesh Tamarapalli Application of Test-View Modeling to Hierarchical ATPG. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Sharada Jha, Kameshwar Chandrasekar, Weixin Wu, Ramesh Sharma, Sanjay Sengupta, Sudhakar M. Reddy A Cube-Aware Compaction Method for Scan ATPG. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ashok Kumar Palaniswamy, Spyros Tragoudas, Themistoklis Haniotakis ATPG for transition faults of pipelined threshold logic circuits. Search on Bibsonomy DTIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Bernd Becker 0001, Rolf Drechsler, Stephan Eggersglüß, Matthias Sauer 0002 Recent advances in SAT-based ATPG: Non-standard fault models, multi constraints and optimization. Search on Bibsonomy DTIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Huan Chen 0001, João Marques-Silva 0001 A Two-Variable Model for SAT-Based ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Lung-Jen Lee Observation-Oriented ATPG and Scan Chain Disabling for Capture Power Reduction. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Christelle Hobeika, Claude Thibeault, Jean-François Boland Technical report: Functional Constraint Extraction From Register Transfer Level for ATPG. Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
17S. Jayanthy, M. C. Bhuvaneswari, M. Prabhu Simulation-based ATPG for low power testing of crosstalk delay faults in asynchronous circuits. Search on Bibsonomy Int. J. Comput. Appl. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Marcela Simková, Zdenek Kotásek, Cristiana Bolchini Analysis and comparison of functional verification and ATPG for testing design reliability. Search on Bibsonomy DDECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Bao Liu 0001, Lu Wang, Juan Portillo Variable latency VLSI design based on timing analysis, delay ATPG, and completion prediction. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Masahiro Fujita, Takeshi Matsumoto, Satoshi Jo FOF: Functionally Observable Fault and its ATPG techniques. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jiri Balcarek, Petr Fiser, Jan Schmidt Simulation and SAT Based ATPG for Compressed Test Generation. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Dominik Erb, Michael A. Kochte, Matthias Sauer 0002, Hans-Joachim Wunderlich, Bernd Becker 0001 Accurate Multi-cycle ATPG in Presence of X-Values. Search on Bibsonomy Asian Test Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Chin Hai Ang Single Test Clock with Programmable Clock Enable Constraints for Multi-clock Domain SoC ATPG Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Robert Wille, Rolf Drechsler Improved SAT-based ATPG: more constraints, better compaction. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Xuan Yang, Xiaole Cui, Chao Wang, Chung Len Lee 0001 A test pattern selection method for dynamic burn-in of logic circuits based on ATPG technique. Search on Bibsonomy ASICON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Matthias Sauer 0002, Young Moon Kim, Jun Seomun, Hyung-Ock Kim, Kyung Tae Do, Jung Yun Choi, Kee Sup Kim, Subhasish Mitra, Bernd Becker 0001 Early-life-failure detection using SAT-based ATPG. Search on Bibsonomy ITC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17X. Cai, Peter Wohl A distributed-multicore hybrid ATPG system. Search on Bibsonomy ITC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kuan-Yu Liao, Sheng-Chang Hsu, James Chien-Mo Li GPU-based n-detect transition fault ATPG. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Takanori Moriyasu, Satoshi Ohtake A Method of LFSR Seed Generation for Scan-Based BIST Using Constrained ATPG. Search on Bibsonomy CISIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Yoshinobu Higami, Satoshi Ohno, Hironori Yamaoka, Hiroshi Takahashi, Yoshihiro Shimizu, Takashi Aikyo Generation of Diagnostic Tests for Transition Faults Using a Stuck-At ATPG Tool. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Huan Chen 0001, João Marques-Silva 0001 TG-Pro: A SAT-based ATPG System. Search on Bibsonomy J. Satisf. Boolean Model. Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Rolf Drechsler A Highly Fault-Efficient SAT-Based ATPG Flow. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Rene Krenz-Baath, Andreas Glowatz, Friedrich Hapke, Rolf Drechsler A new SAT-based ATPG for generating highly compacted test sets. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Lung-Jen Lee, Chia-Cheng He, Wang-Dauh Tseng Deterministic ATPG for Low Capture Power Testing. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Alexander Czutro, Matthias Sauer 0002, Ilia Polian, Bernd Becker 0001 Multi-conditional SAT-ATPG for power-droop testing. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Dale Meehl, Bassilios Petrakis, Ping Zhang LBIST/ATPG Technologies for On-Demand Digital Logic Testing in Automotive Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Sergej Deutsch, Krishnendu Chakrabarty, Shreepad Panth, Sung Kyu Lim TSV Stress-Aware ATPG for 3D Stacked ICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Matthias Sauer 0002, Alexander Czutro, Ilia Polian, Bernd Becker 0001 Small-delay-fault ATPG with waveform accuracy. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ahish Mysore Somashekar, Spyros Tragoudas, Sreenivas Gangadhar, Rathish Jayabharathi Non-enumerative generation of statistical path delays for ATPG. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Alexander Czutro, Matthias Sauer 0002, Tobias Schubert 0001, Ilia Polian, Bernd Becker 0001 SAT-ATPG using preferences for improved detection of complex defect mechanisms. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Sergej Deutsch, Brion L. Keller, Vivek Chickermane, Subhasish Mukherjee, Navdeep Sood, Sandeep Kumar Goel, Ji-Jan Chen, Ashok Mehta, Frank Lee, Erik Jan Marinissen DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Rolf Drechsler Efficient Data Structures and Methodologies for SAT-Based ATPG Providing High Fault Coverage in Industrial Application. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Robert Wille, Hongyan Zhang 0003, Rolf Drechsler ATPG for Reversible Circuits Using Simulation, Boolean Satisfiability, and Pseudo Boolean Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara F-Scan Test Generation Model for Delay Fault Testing at RTL Using Standard Full Scan ATPG. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF automatic test pattern generation, scan-based test, high-level testing
17Shray Khullar, Swapnil Bahl Power Aware Shift and Capture ATPG Methodology for Low Power Designs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Pey-Chang Kent Lin, Sunil P. Khatri Efficient cancer therapy using Boolean networks and Max-SAT-based ATPG. Search on Bibsonomy GENSiPS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Bijan Alizadeh, Masahiro Fujita Early case splitting and false path detection to improve high level ATPG techniques. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Kameshwar Chandrasekar, Surendra Bommu, Sanjay Sengupta Low Coverage Analysis using dynamic un-testability debug in ATPG. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17V. R. Devanathan, Ishaan Santhosh Shah Hazard-Aware Directed Transition Fault ATPG for Effective Critical Path Test. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Kunal P. Ganeshpure, Sandip Kundu On ATPG for Multiple Aggressor Crosstalk Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Daniel Tille, Stephan Eggersglüß, Rolf Drechsler Incremental Solving Techniques for SAT-based ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Görschwin Fey, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel, Rolf Drechsler MONSOON: SAT-Based ATPG for Path Delay Faults Using Multiple-Valued Logics. Search on Bibsonomy J. Electron. Test. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Hongyan Zhang 0003, Robert Wille, Rolf Drechsler SAT-based ATPG for reversible circuits. Search on Bibsonomy IDT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Junxia Ma, Mohammad Tehranipoor, Ozgur Sinanoglu, Sobeeh Almukhaizim Identification of IR-drop hot-spots in defective power distribution network using TDF ATPG. Search on Bibsonomy IDT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jiri Balcarek, Petr Fiser, Jan Schmidt Test Patterns Compression Technique Based on a Dedicated SAT-Based ATPG. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Daniel Tille, Stephan Eggersglüß, Rene Krenz-Baath, Jürgen Schlöffel, Rolf Drechsler Improving CNF representations in SAT-based ATPG for industrial circuits using BDDs. Search on Bibsonomy ETS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Bijan Alizadeh, Masahiro Fujita Guided gate-level ATPG for sequential circuits using a high-level test generation approach. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Arani Sinha Special session 8C: Panel EDA for analog DFT/ATPG - will SoC cost pressures make this a reality? Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara Constrained ATPG for functional RTL circuits using F-Scan. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Tom Waayers, Richard Morren, Xijiang Lin, Mark Kassab Clock control architecture and ATPG for reducing pattern count in SoC designs with multiple clock domains. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17X. Cai, Peter Wohl, John A. Waicukauski, Pramod Notiyath Highly efficient parallel ATPG based on shared memory. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Sunghoon Chun, Taejin Kim, Sungho Kang ATPG-XP: Test Generation for Maximal Crosstalk-Induced Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Rolf Drechsler, Tommi A. Junttila, Ilkka Niemelä Non-Clausal SAT and ATPG. Search on Bibsonomy Handbook of Satisfiability The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Huan Chen 0001, João Marques-Silva 0001 TG-PRO: A new model for SAT-based ATPG. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Görschwin Fey Deterministic Algorithms for ATPG under Leakage Constraints. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Alejandro Czutro, Ilia Polian, Piet Engelke, Sudhakar M. Reddy, Bernd Becker 0001 Dynamic Compaction in SAT-Based ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Krishna Chakravadhanula, Vivek Chickermane, Brion L. Keller, Patrick R. Gallagher Jr., Anis Uzzaman Why is Conventional ATPG Not Sufficient for Advanced Low Power Designs?. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yu Huang 0005, Wu-Tung Cheng, Ruifeng Guo, Ting-Pu Tai, Feng-Ming Kuo, Yuan-Shih Chen Scan Chain Diagnosis by Adaptive Signal Profiling with Manufacturing ATPG Patterns. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Daniel Tille, Rolf Drechsler Speeding up SAT-Based ATPG Using Dynamic Clause Activation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Toru Nakura, Yutaro Tatemura, Görschwin Fey, Makoto Ikeda, Satoshi Komatsu, Kunihiro Asada SAT-based ATPG testing of inter- and intra-gate bridging faults. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Kohei Miyase, Yuta Yamato, Kenji Noda, Hideaki Ito, Kazumi Hatayama, Takashi Aikyo, Xiaoqing Wen, Seiji Kajihara A novel post-ATPG IR-drop reduction scheme for at-speed scan testing in broadcast-scan-based test compression environment. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Sying-Jyan Wang, Kuo-Lin Fu, Katherine Shu-Min Li Low Peak Power ATPG for n-Detection Test. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Marc Hunger, Sybille Hellebrand, Alejandro Czutro, Ilia Polian, Bernd Becker 0001 ATPG-based grading of strong fault-secureness. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Zhen Chen, Dong Xiang, Boxue Yin The ATPG Conflict-Driven Scheme for High Transition Fault Coverage and Low Test Cost. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Friedrich Hapke, Rene Krenz-Baath, Andreas Glowatz, Jürgen Schlöffel, Hamidreza Hashempour, Stefan Eichenberger, Camelia Hora, Dan Adolfsson Defect-oriented cell-aware ATPG and fault simulation for industrial cell libraries and designs. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yoshinobu Higami, Yosuke Kurose, Satoshi Ohno, Hironori Yamaoka, Hiroshi Takahashi, Yoshihiro Shimizu, Takashi Aikyo, Yuzo Takamatsu Diagnostic test generation for transition faults using a stuck-at ATPG tool. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Kunal P. Ganeshpure, Sandip Kundu An ILP Based ATPG Technique for Multiple Aggressor Crosstalk Faults Considering the Effects of Gate Delays. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Giuseppe Di Guglielmo On the validation of embedded systems through functional ATPG. Search on Bibsonomy 2009   RDF
17Arkan Abdulrahman, Spyros Tragoudas Low-power multi-core ATPG to target concurrency. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sunghoon Chun, YongJoon Kim, Taejin Kim, Myung-Hoon Yang, Sungho Kang 0001 XPDF-ATPG: An Efficient Test Pattern Generation for Crosstalk-Induced Faults. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nitin Yogi, Vishwani D. Agrawal Sequential Circuit BIST Synthesis Using Spectrum and Noise from ATPG Patterns. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Görschwin Fey, Satoshi Komatsu, Yasuo Furukawa, Masahiro Fujita Targeting Leakage Constraints during ATPG. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Marc Hunger, Sybille Hellebrand Verification and Analysis of Self-Checking Properties through ATPG. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Santiago Remersaro, Janusz Rajski, Thomas Rinderknecht, Sudhakar M. Reddy, Irith Pomeranz ATPG Heuristics Dependant Observation Point Insertion for Enhanced Compaction and Data Volume Reduction. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Che-Jen Jerry Chang, Takeo Kobayashi Test Quality Improvement with Timing-aware ATPG: Screening small delay defect case study. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Heiko Ahrens, Rolf Schlagenhaft, Helmut Lang, V. Srinivasan, Enrico Bruzzano DFT Architecture for Automotive Microprocessors using On-Chip Scan Compression supporting Dual Vendor ATPG. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hsiu-Ting Lin, Jen-Yang Wen, James Li, Ming-Tung Chang, Min-Hsiu Tsai, Sheng-Chih Huang, Chili-Mou Tseng Capture and Shift Toggle Reduction (CASTR) ATPG to Minimize Peak Power Supply Noise. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Surendra Bommu, Kameshwar Chandrasekar, Rahul Kundu, Sanjay Sengupta CONCAT: CONflict Driven Learning in ATPG for Industrial designs. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Kozo Kinoshita A Novel ATPG Method for Capture Power Reduction during Scan Testing. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas High-Quality Transition Fault ATPG for Small Delay Defects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17B. K. S. V. L. Varaprasad, Lalit M. Patnaik, Hirisave S. Jamadagni, V. K. Agrawal A New ATPG Technique (ExpoTan) for Testing Analog Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Daniel Tille, Görschwin Fey, Rolf Drechsler Instance Generation for SAT-based ATPG. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel Combining Multi-Valued Logics in SAT-based ATPG for Path Delay Faults. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Rolf Drechsler Improving Test Pattern Compactness in SAT-based ATPG. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Brion L. Keller, Tom Jackson, Anis Uzzaman A Review of Power Strategies for DFT and ATPG. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Daniel Tille, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel Experimental Studies on SAT-Based ATPG for Gate Delay Faults. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Görschwin Fey, Rolf Drechsler SAT-based ATPG for Path Delay Faults in Sequential Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Shun-Yen Lu, Ming-Ting Hsieh, Jing-Jia Liou An efficient SAT-based path delay fault ATPG with an unified sensitization model. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kunal P. Ganeshpure, Sandip Kundu On ATPG for multiple aggressor crosstalk faults in presence of gate delays. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jeroen Geuzebroek, Erik Jan Marinissen, Ananta K. Majhi, Andreas Glowatz, Friedrich Hapke Embedded multi-detect ATPG and Its Effect on the Detection of Unmodeled Defects. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Qingwei Wu, Michael S. Hsiao State Variable Extraction and Partitioning to Reduce Problem Complexity for ATPG and Design Validation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Liming Gao, Christian Burmer, Frank Siegelin ATPG scan logic failure analysis: a case study of logic ICs - fault isolation, defect mechanism identification and yield improvement. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Alfredo Benso, Alberto Bosio, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto ATPG for Dynamic Burn-In Test in Full-Scan Circuits. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xijiang Lin, Kun-Han Tsai, Chen Wang 0014, Mark Kassab, Janusz Rajski, Takeo Kobayashi, Randy Klingenberg, Yasuo Sato, Shuji Hamada, Takashi Aikyo Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Masayoshi Yoshimura, Yusuke Matsunaga Development of practical ATPG tool with flexible interface. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Michel Renovell, Mariane Comte, Ilia Polian, Piet Engelke, Bernd Becker 0001 A Specific ATPG technique for Resistive Open with Sequence Recursive Dependency. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license