Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Fanchen Zhang, Micah Thornton, Jennifer Dworak |
When Optimized N-Detect Test Sets are Biased: An Investigation of Cell-Aware-Type Faults and N-Detect Stuck-At ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NATW ![In: IEEE 23rd North Atlantic Test Workshop, NATW 2014, Johnson City, NY, USA, May 14-16, 2014, pp. 32-39, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | X. Cai, Peter Wohl, Daniel Martin 0002 |
Fault sharing in a copy-on-write based ATPG system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-8, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Masahiro Fujita, Alan Mishchenko |
Efficient SAT-based ATPG techniques for all multiple stuck-at faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-10, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Rahul Shukla, Phong Loi, Ken Pham, Arie Margulis, Kathy Yang, Nagesh Tamarapalli |
Application of Test-View Modeling to Hierarchical ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSID ![In: 2014 27th International Conference on VLSI Design, VLSID 2014, and 2014 13th International Conference on Embedded Systems, Mumbai, India, January 5-9, 2014, pp. 110-115, 2014, IEEE Computer Society, 978-1-4799-2513-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Sharada Jha, Kameshwar Chandrasekar, Weixin Wu, Ramesh Sharma, Sanjay Sengupta, Sudhakar M. Reddy |
A Cube-Aware Compaction Method for Scan ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSID ![In: 2014 27th International Conference on VLSI Design, VLSID 2014, and 2014 13th International Conference on Embedded Systems, Mumbai, India, January 5-9, 2014, pp. 98-103, 2014, IEEE Computer Society, 978-1-4799-2513-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Ashok Kumar Palaniswamy, Spyros Tragoudas, Themistoklis Haniotakis |
ATPG for transition faults of pipelined threshold logic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DTIS ![In: Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2014, Santorini, Greece, May 6-8, 2014, pp. 1-5, 2014, IEEE, 978-1-4799-4972-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Bernd Becker 0001, Rolf Drechsler, Stephan Eggersglüß, Matthias Sauer 0002 |
Recent advances in SAT-based ATPG: Non-standard fault models, multi constraints and optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DTIS ![In: Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2014, Santorini, Greece, May 6-8, 2014, pp. 1-10, 2014, IEEE, 978-1-4799-4972-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Huan Chen 0001, João Marques-Silva 0001 |
A Two-Variable Model for SAT-Based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(12), pp. 1943-1956, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Lung-Jen Lee |
Observation-Oriented ATPG and Scan Chain Disabling for Capture Power Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 29(5), pp. 625-634, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Christelle Hobeika, Claude Thibeault, Jean-François Boland |
Technical report: Functional Constraint Extraction From Register Transfer Level for ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1310.0100, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
17 | S. Jayanthy, M. C. Bhuvaneswari, M. Prabhu |
Simulation-based ATPG for low power testing of crosstalk delay faults in asynchronous circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Appl. Technol. ![In: Int. J. Comput. Appl. Technol. 48(3), pp. 241-252, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Marcela Simková, Zdenek Kotásek, Cristiana Bolchini |
Analysis and comparison of functional verification and ATPG for testing design reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2013, Karlovy Vary, Czech Republic, April 8-10, 2013, pp. 275-278, 2013, IEEE Computer Society, 978-1-4673-6135-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Bao Liu 0001, Lu Wang, Juan Portillo |
Variable latency VLSI design based on timing analysis, delay ATPG, and completion prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MWSCAS ![In: IEEE 56th International Midwest Symposium on Circuits and Systems, MWSCAS 2013, Columbus, OH, USA, August 4-7, 2013, pp. 653-656, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Masahiro Fujita, Takeshi Matsumoto, Satoshi Jo |
FOF: Functionally Observable Fault and its ATPG techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013, pp. 108-111, 2013, IEEE, 978-1-4799-0522-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jiri Balcarek, Petr Fiser, Jan Schmidt |
Simulation and SAT Based ATPG for Compressed Test Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2013 Euromicro Conference on Digital System Design, DSD 2013, Los Alamitos, CA, USA, September 4-6, 2013, pp. 445-452, 2013, IEEE Computer Society, 978-1-4799-2978-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Dominik Erb, Michael A. Kochte, Matthias Sauer 0002, Hans-Joachim Wunderlich, Bernd Becker 0001 |
Accurate Multi-cycle ATPG in Presence of X-Values. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 22nd Asian Test Symposium, ATS 2013, Yilan County, Taiwan, November 18-21, 2013, pp. 245-250, 2013, IEEE Computer Society, 978-0-7695-5080-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Chin Hai Ang |
Single Test Clock with Programmable Clock Enable Constraints for Multi-clock Domain SoC ATPG Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 22nd Asian Test Symposium, ATS 2013, Yilan County, Taiwan, November 18-21, 2013, pp. 195-200, 2013, IEEE Computer Society, 978-0-7695-5080-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Robert Wille, Rolf Drechsler |
Improved SAT-based ATPG: more constraints, better compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013, pp. 85-90, 2013, IEEE, 978-1-4799-1069-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Xuan Yang, Xiaole Cui, Chao Wang, Chung Len Lee 0001 |
A test pattern selection method for dynamic burn-in of logic circuits based on ATPG technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASICON ![In: IEEE 10th International Conference on ASIC, ASICON 2013, Shenzhen, China, October 28-31, 2013, pp. 1-4, 2013, IEEE, 978-1-4673-6415-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Matthias Sauer 0002, Young Moon Kim, Jun Seomun, Hyung-Ock Kim, Kyung Tae Do, Jung Yun Choi, Kee Sup Kim, Subhasish Mitra, Bernd Becker 0001 |
Early-life-failure detection using SAT-based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013, pp. 1-10, 2013, IEEE Computer Society, 978-1-4799-0859-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | X. Cai, Peter Wohl |
A distributed-multicore hybrid ATPG system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013, pp. 1-7, 2013, IEEE Computer Society, 978-1-4799-0859-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Kuan-Yu Liao, Sheng-Chang Hsu, James Chien-Mo Li |
GPU-based n-detect transition fault ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, pp. 28:1-28:8, 2013, ACM, 978-1-4503-2071-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Takanori Moriyasu, Satoshi Ohtake |
A Method of LFSR Seed Generation for Scan-Based BIST Using Constrained ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISIS ![In: Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, CISIS 2013, Taichung, Taiwan, July 3-5, 2013, pp. 755-759, 2013, IEEE Computer Society, 978-0-7695-4992-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Yoshinobu Higami, Satoshi Ohno, Hironori Yamaoka, Hiroshi Takahashi, Yoshihiro Shimizu, Takashi Aikyo |
Generation of Diagnostic Tests for Transition Faults Using a Stuck-At ATPG Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 95-D(4), pp. 1093-1100, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Huan Chen 0001, João Marques-Silva 0001 |
TG-Pro: A SAT-based ATPG System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Satisf. Boolean Model. Comput. ![In: J. Satisf. Boolean Model. Comput. 8(1/2), pp. 83-88, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Rolf Drechsler |
A Highly Fault-Efficient SAT-Based ATPG Flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 29(4), pp. 63-70, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Rene Krenz-Baath, Andreas Glowatz, Friedrich Hapke, Rolf Drechsler |
A new SAT-based ATPG for generating highly compacted test sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2012, Tallinn, Estonia, April 18-20, 2012, pp. 230-235, 2012, IEEE, 978-1-4673-1187-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Lung-Jen Lee, Chia-Cheng He, Wang-Dauh Tseng |
Deterministic ATPG for Low Capture Power Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: 13th International Workshop on Microprocessor Test and Verification, MTV 2012, Austin, TX, USA, December 10-13, 2012, pp. 24-29, 2012, IEEE Computer Society, 978-1-4673-4441-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Alexander Czutro, Matthias Sauer 0002, Ilia Polian, Bernd Becker 0001 |
Multi-conditional SAT-ATPG for power-droop testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 17th IEEE European Test Symposium, ETS 2012, Annecy, France, May 28 - June 1 2012, pp. 1-6, 2012, IEEE Computer Society, 978-1-4673-0697-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Dale Meehl, Bassilios Petrakis, Ping Zhang |
LBIST/ATPG Technologies for On-Demand Digital Logic Testing in Automotive Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012, pp. 2, 2012, IEEE Computer Society, 978-1-4673-4555-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Sergej Deutsch, Krishnendu Chakrabarty, Shreepad Panth, Sung Kyu Lim |
TSV Stress-Aware ATPG for 3D Stacked ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012, pp. 31-36, 2012, IEEE Computer Society, 978-1-4673-4555-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Matthias Sauer 0002, Alexander Czutro, Ilia Polian, Bernd Becker 0001 |
Small-delay-fault ATPG with waveform accuracy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012, pp. 30-36, 2012, ACM, 978-1-4577-1398-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Ahish Mysore Somashekar, Spyros Tragoudas, Sreenivas Gangadhar, Rathish Jayabharathi |
Non-enumerative generation of statistical path delays for ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 30th International IEEE Conference on Computer Design, ICCD 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012, pp. 514-515, 2012, IEEE Computer Society, 978-1-4673-3051-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Alexander Czutro, Matthias Sauer 0002, Tobias Schubert 0001, Ilia Polian, Bernd Becker 0001 |
SAT-ATPG using preferences for improved detection of complex defect mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 30th IEEE VLSI Test Symposium, VTS 2012, Maui, Hawaii, USA, 23-26 April 2012, pp. 170-175, 2012, IEEE Computer Society, 978-1-4673-1074-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Sergej Deutsch, Brion L. Keller, Vivek Chickermane, Subhasish Mukherjee, Navdeep Sood, Sandeep Kumar Goel, Ji-Jan Chen, Ashok Mehta, Frank Lee, Erik Jan Marinissen |
DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2012 IEEE International Test Conference, ITC 2012, Anaheim, CA, USA, November 5-8, 2012, pp. 1-10, 2012, IEEE Computer Society, 978-1-4673-1594-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Rolf Drechsler |
Efficient Data Structures and Methodologies for SAT-Based ATPG Providing High Fault Coverage in Industrial Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(9), pp. 1411-1415, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
17 | Robert Wille, Hongyan Zhang 0003, Rolf Drechsler |
ATPG for Reversible Circuits Using Simulation, Boolean Satisfiability, and Pseudo Boolean Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 4-6 July 2011, Chennai, India, pp. 120-125, 2011, IEEE Computer Society, 978-0-7695-4447-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
17 | Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara |
F-Scan Test Generation Model for Delay Fault Testing at RTL Using Standard Full Scan ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 16th European Test Symposium, ETS 2011, Trondheim, Norway, May 23-27, 2011, pp. 203, 2011, IEEE Computer Society, 978-0-7695-4433-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
automatic test pattern generation, scan-based test, high-level testing |
17 | Shray Khullar, Swapnil Bahl |
Power Aware Shift and Capture ATPG Methodology for Low Power Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the 20th IEEE Asian Test Symposium, ATS 2011, New Delhi, India, November 20-23, 2011, pp. 500-505, 2011, IEEE Computer Society, 978-1-4577-1984-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
17 | Pey-Chang Kent Lin, Sunil P. Khatri |
Efficient cancer therapy using Boolean networks and Max-SAT-based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GENSiPS ![In: 2011 IEEE International Workshop on Genomic Signal Processing and Statistics, GENSiPS 2011, San Antonio, TX, USA, December 4-6, 2011, pp. 87-90, 2011, IEEE, 978-1-4673-0491-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
17 | Bijan Alizadeh, Masahiro Fujita |
Early case splitting and false path detection to improve high level ATPG techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2011), May 15-19 2011, Rio de Janeiro, Brazil, pp. 1463-1466, 2011, IEEE, 978-1-4244-9473-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
17 | Kameshwar Chandrasekar, Surendra Bommu, Sanjay Sengupta |
Low Coverage Analysis using dynamic un-testability debug in ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 29th IEEE VLSI Test Symposium, VTS 2011, May 1-5, 2011, Dana Point, California, USA, pp. 291-296, 2011, IEEE Computer Society, 978-1-61284-657-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
17 | V. R. Devanathan, Ishaan Santhosh Shah |
Hazard-Aware Directed Transition Fault ATPG for Effective Critical Path Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2011: 24th International Conference on VLSI Design, IIT Madras, Chennai, India, 2-7 January 2011, pp. 262-267, 2011, IEEE Computer Society, 978-0-7695-4348-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
17 | Kunal P. Ganeshpure, Sandip Kundu |
On ATPG for Multiple Aggressor Crosstalk Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(5), pp. 774-787, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Daniel Tille, Stephan Eggersglüß, Rolf Drechsler |
Incremental Solving Techniques for SAT-based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(7), pp. 1125-1130, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Görschwin Fey, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel, Rolf Drechsler |
MONSOON: SAT-Based ATPG for Path Delay Faults Using Multiple-Valued Logics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 26(3), pp. 307-322, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Hongyan Zhang 0003, Robert Wille, Rolf Drechsler |
SAT-based ATPG for reversible circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDT ![In: 5th International Design and Test Workshop, IDT 2010, Abu Dhabi, UAE, 14-15 December 2010, pp. 149-154, 2010, IEEE, 978-1-61284-291-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Junxia Ma, Mohammad Tehranipoor, Ozgur Sinanoglu, Sobeeh Almukhaizim |
Identification of IR-drop hot-spots in defective power distribution network using TDF ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDT ![In: 5th International Design and Test Workshop, IDT 2010, Abu Dhabi, UAE, 14-15 December 2010, pp. 122-127, 2010, IEEE, 978-1-61284-291-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Jiri Balcarek, Petr Fiser, Jan Schmidt |
Test Patterns Compression Technique Based on a Dedicated SAT-Based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2010, 1-3 September 2010, Lille, France, pp. 805-808, 2010, IEEE Computer Society, 978-0-7695-4171-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Daniel Tille, Stephan Eggersglüß, Rene Krenz-Baath, Jürgen Schlöffel, Rolf Drechsler |
Improving CNF representations in SAT-based ATPG for industrial circuits using BDDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 15th European Test Symposium, ETS 2010, Prague, Czech Republic, May 24-28, 2010, pp. 176-181, 2010, IEEE Computer Society, 978-1-4244-5833-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Bijan Alizadeh, Masahiro Fujita |
Guided gate-level ATPG for sequential circuits using a high-level test generation approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 15th Asia South Pacific Design Automation Conference, ASP-DAC 2010, Taipei, Taiwan, January 18-21, 2010, pp. 425-430, 2010, IEEE, 978-1-60558-837-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Arani Sinha |
Special session 8C: Panel EDA for analog DFT/ATPG - will SoC cost pressures make this a reality? ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 28th IEEE VLSI Test Symposium, VTS 2010, April 19-22, 2010, Santa Cruz, California, USA, pp. 259, 2010, IEEE Computer Society, 978-1-4244-6648-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara |
Constrained ATPG for functional RTL circuits using F-Scan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2011 IEEE International Test Conference, ITC 2010, Austin, TX, USA, November 2-4, 2010, pp. 615-624, 2010, IEEE Computer Society, 978-1-4244-7206-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Tom Waayers, Richard Morren, Xijiang Lin, Mark Kassab |
Clock control architecture and ATPG for reducing pattern count in SoC designs with multiple clock domains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2011 IEEE International Test Conference, ITC 2010, Austin, TX, USA, November 2-4, 2010, pp. 114-123, 2010, IEEE Computer Society, 978-1-4244-7206-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | X. Cai, Peter Wohl, John A. Waicukauski, Pramod Notiyath |
Highly efficient parallel ATPG based on shared memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2011 IEEE International Test Conference, ITC 2010, Austin, TX, USA, November 2-4, 2010, pp. 353-359, 2010, IEEE Computer Society, 978-1-4244-7206-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
17 | Sunghoon Chun, Taejin Kim, Sungho Kang |
ATPG-XP: Test Generation for Maximal Crosstalk-Induced Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(9), pp. 1401-1413, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Rolf Drechsler, Tommi A. Junttila, Ilkka Niemelä |
Non-Clausal SAT and ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Handbook of Satisfiability ![In: Handbook of Satisfiability, pp. 655-693, 2009, IOS Press, 978-1-58603-929-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Huan Chen 0001, João Marques-Silva 0001 |
TG-PRO: A new model for SAT-based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: IEEE International High Level Design Validation and Test Workshop, HLDVT 2009, San Francisco, CA, USA, 4-6 November 2009, pp. 76-81, 2009, IEEE Computer Society, 978-1-4244-4823-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Görschwin Fey |
Deterministic Algorithms for ATPG under Leakage Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the Eighteentgh Asian Test Symposium, ATS 2009, 23-26 November 2009, Taichung, Taiwan, pp. 313-316, 2009, IEEE Computer Society, 978-0-7695-3864-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Alejandro Czutro, Ilia Polian, Piet Engelke, Sudhakar M. Reddy, Bernd Becker 0001 |
Dynamic Compaction in SAT-Based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the Eighteentgh Asian Test Symposium, ATS 2009, 23-26 November 2009, Taichung, Taiwan, pp. 187-190, 2009, IEEE Computer Society, 978-0-7695-3864-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Krishna Chakravadhanula, Vivek Chickermane, Brion L. Keller, Patrick R. Gallagher Jr., Anis Uzzaman |
Why is Conventional ATPG Not Sufficient for Advanced Low Power Designs?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the Eighteentgh Asian Test Symposium, ATS 2009, 23-26 November 2009, Taichung, Taiwan, pp. 295-300, 2009, IEEE Computer Society, 978-0-7695-3864-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Yu Huang 0005, Wu-Tung Cheng, Ruifeng Guo, Ting-Pu Tai, Feng-Ming Kuo, Yuan-Shih Chen |
Scan Chain Diagnosis by Adaptive Signal Profiling with Manufacturing ATPG Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the Eighteentgh Asian Test Symposium, ATS 2009, 23-26 November 2009, Taichung, Taiwan, pp. 35-40, 2009, IEEE Computer Society, 978-0-7695-3864-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Daniel Tille, Rolf Drechsler |
Speeding up SAT-Based ATPG Using Dynamic Clause Activation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the Eighteentgh Asian Test Symposium, ATS 2009, 23-26 November 2009, Taichung, Taiwan, pp. 177-182, 2009, IEEE Computer Society, 978-0-7695-3864-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Toru Nakura, Yutaro Tatemura, Görschwin Fey, Makoto Ikeda, Satoshi Komatsu, Kunihiro Asada |
SAT-based ATPG testing of inter- and intra-gate bridging faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCTD ![In: 19th European Conference on Circuit Theory and Design, ECCTD 2009, Antalya, Turkey, August 23-27, 2009, pp. 643-646, 2009, IEEE, 978-1-4244-3896-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Kohei Miyase, Yuta Yamato, Kenji Noda, Hideaki Ito, Kazumi Hatayama, Takashi Aikyo, Xiaoqing Wen, Seiji Kajihara |
A novel post-ATPG IR-drop reduction scheme for at-speed scan testing in broadcast-scan-based test compression environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2009 International Conference on Computer-Aided Design, ICCAD 2009, San Jose, CA, USA, November 2-5, 2009, pp. 97-104, 2009, ACM, 978-1-60558-800-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Sying-Jyan Wang, Kuo-Lin Fu, Katherine Shu-Min Li |
Low Peak Power ATPG for n-Detection Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan, pp. 1993-1996, 2009, IEEE, 978-1-4244-3827-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Marc Hunger, Sybille Hellebrand, Alejandro Czutro, Ilia Polian, Bernd Becker 0001 |
ATPG-based grading of strong fault-secureness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal, pp. 269-274, 2009, IEEE Computer Society, 978-1-4244-4596-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Zhen Chen, Dong Xiang, Boxue Yin |
The ATPG Conflict-Driven Scheme for High Transition Fault Coverage and Low Test Cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 27th IEEE VLSI Test Symposium, VTS 2009, May 3-7, 2009, Santa Cruz, California, USA, pp. 146-151, 2009, IEEE Computer Society, 978-0-7695-3598-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Friedrich Hapke, Rene Krenz-Baath, Andreas Glowatz, Jürgen Schlöffel, Hamidreza Hashempour, Stefan Eichenberger, Camelia Hora, Dan Adolfsson |
Defect-oriented cell-aware ATPG and fault simulation for industrial cell libraries and designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2009 IEEE International Test Conference, ITC 2009, Austin, TX, USA, November 1-6, 2009, pp. 1-10, 2009, IEEE Computer Society, 978-1-4244-4868-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Yoshinobu Higami, Yosuke Kurose, Satoshi Ohno, Hironori Yamaoka, Hiroshi Takahashi, Yoshihiro Shimizu, Takashi Aikyo, Yuzo Takamatsu |
Diagnostic test generation for transition faults using a stuck-at ATPG tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2009 IEEE International Test Conference, ITC 2009, Austin, TX, USA, November 1-6, 2009, pp. 1-9, 2009, IEEE Computer Society, 978-1-4244-4868-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Kunal P. Ganeshpure, Sandip Kundu |
An ILP Based ATPG Technique for Multiple Aggressor Crosstalk Faults Considering the Effects of Gate Delays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009, pp. 233-238, 2009, IEEE Computer Society, 978-0-7695-3506-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Giuseppe Di Guglielmo |
On the validation of embedded systems through functional ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2009 |
RDF |
|
17 | Arkan Abdulrahman, Spyros Tragoudas |
Low-power multi-core ATPG to target concurrency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Integr. ![In: Integr. 41(4), pp. 459-473, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Sunghoon Chun, YongJoon Kim, Taejin Kim, Myung-Hoon Yang, Sungho Kang 0001 |
XPDF-ATPG: An Efficient Test Pattern Generation for Crosstalk-Induced Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 17th IEEE Asian Test Symposium, ATS 2008, Sapporo, Japan, November 24-27, 2008, pp. 83-88, 2008, IEEE Computer Society, 978-0-7695-3396-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Nitin Yogi, Vishwani D. Agrawal |
Sequential Circuit BIST Synthesis Using Spectrum and Noise from ATPG Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 17th IEEE Asian Test Symposium, ATS 2008, Sapporo, Japan, November 24-27, 2008, pp. 69-74, 2008, IEEE Computer Society, 978-0-7695-3396-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Görschwin Fey, Satoshi Komatsu, Yasuo Furukawa, Masahiro Fujita |
Targeting Leakage Constraints during ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 17th IEEE Asian Test Symposium, ATS 2008, Sapporo, Japan, November 24-27, 2008, pp. 225-230, 2008, IEEE Computer Society, 978-0-7695-3396-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Marc Hunger, Sybille Hellebrand |
Verification and Analysis of Self-Checking Properties through ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 7-9 July 2008, Rhodes, Greece, pp. 25-30, 2008, IEEE Computer Society, 978-0-7695-3264-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Santiago Remersaro, Janusz Rajski, Thomas Rinderknecht, Sudhakar M. Reddy, Irith Pomeranz |
ATPG Heuristics Dependant Observation Point Insertion for Enhanced Compaction and Data Volume Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 1-3 October 2008, Boston, MA, USA, pp. 385-393, 2008, IEEE Computer Society, 978-0-7695-3365-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Che-Jen Jerry Chang, Takeo Kobayashi |
Test Quality Improvement with Timing-aware ATPG: Screening small delay defect case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2008 IEEE International Test Conference, ITC 2008, Santa Clara, California, USA, October 26-31, 2008, pp. 1, 2008, IEEE Computer Society, 978-1-4244-2403-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Heiko Ahrens, Rolf Schlagenhaft, Helmut Lang, V. Srinivasan, Enrico Bruzzano |
DFT Architecture for Automotive Microprocessors using On-Chip Scan Compression supporting Dual Vendor ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2008 IEEE International Test Conference, ITC 2008, Santa Clara, California, USA, October 26-31, 2008, pp. 1-10, 2008, IEEE Computer Society, 978-1-4244-2403-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Hsiu-Ting Lin, Jen-Yang Wen, James Li, Ming-Tung Chang, Min-Hsiu Tsai, Sheng-Chih Huang, Chili-Mou Tseng |
Capture and Shift Toggle Reduction (CASTR) ATPG to Minimize Peak Power Supply Noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2008 IEEE International Test Conference, ITC 2008, Santa Clara, California, USA, October 26-31, 2008, pp. 1, 2008, IEEE Computer Society, 978-1-4244-2403-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Surendra Bommu, Kameshwar Chandrasekar, Rahul Kundu, Sanjay Sengupta |
CONCAT: CONflict Driven Learning in ATPG for Industrial designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2008 IEEE International Test Conference, ITC 2008, Santa Clara, California, USA, October 26-31, 2008, pp. 1-10, 2008, IEEE Computer Society, 978-1-4244-2403-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Kozo Kinoshita |
A Novel ATPG Method for Capture Power Reduction during Scan Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 90-D(9), pp. 1398-1405, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas |
High-Quality Transition Fault ATPG for Small Delay Defects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(5), pp. 983-989, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | B. K. S. V. L. Varaprasad, Lalit M. Patnaik, Hirisave S. Jamadagni, V. K. Agrawal |
A New ATPG Technique (ExpoTan) for Testing Analog Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(1), pp. 189-196, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Daniel Tille, Görschwin Fey, Rolf Drechsler |
Instance Generation for SAT-based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), Kraków, Poland, April 11-13, 2007, pp. 153-156, 2007, IEEE Computer Society, 1-4244-1161-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel |
Combining Multi-Valued Logics in SAT-based ATPG for Path Delay Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 5th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2007), May 30 - June 1st, Nice, France, pp. 181-187, 2007, IEEE Computer Society, 1-4244-1050-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Rolf Drechsler |
Improving Test Pattern Compactness in SAT-based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 16th Asian Test Symposium, ATS 2007, Beijing, China, October 8-11, 2007, pp. 445-452, 2007, IEEE, 0-7695-2890-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Brion L. Keller, Tom Jackson, Anis Uzzaman |
A Review of Power Strategies for DFT and ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 16th Asian Test Symposium, ATS 2007, Beijing, China, October 8-11, 2007, pp. 213, 2007, IEEE, 0-7695-2890-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Daniel Tille, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel |
Experimental Studies on SAT-Based ATPG for Gate Delay Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 37th International Symposium on Multiple-Valued Logic, ISMVL 2007, 13-16 May 2007, Oslo, Norway, pp. 6, 2007, IEEE Computer Society, 978-0-7695-2831-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Eggersglüß, Görschwin Fey, Rolf Drechsler |
SAT-based ATPG for Path Delay Faults in Sequential Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 3671-3674, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Shun-Yen Lu, Ming-Ting Hsieh, Jing-Jia Liou |
An efficient SAT-based path delay fault ATPG with an unified sensitization model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2007 IEEE International Test Conference, ITC 2007, Santa Clara, California, USA, October 21-26, 2007, pp. 1-7, 2007, IEEE Computer Society, 1-4244-1128-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Kunal P. Ganeshpure, Sandip Kundu |
On ATPG for multiple aggressor crosstalk faults in presence of gate delays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2007 IEEE International Test Conference, ITC 2007, Santa Clara, California, USA, October 21-26, 2007, pp. 1-7, 2007, IEEE Computer Society, 1-4244-1128-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Jeroen Geuzebroek, Erik Jan Marinissen, Ananta K. Majhi, Andreas Glowatz, Friedrich Hapke |
Embedded multi-detect ATPG and Its Effect on the Detection of Unmodeled Defects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2007 IEEE International Test Conference, ITC 2007, Santa Clara, California, USA, October 21-26, 2007, pp. 1-10, 2007, IEEE Computer Society, 1-4244-1128-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Qingwei Wu, Michael S. Hsiao |
State Variable Extraction and Partitioning to Reduce Problem Complexity for ATPG and Design Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(10), pp. 2275-2282, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Liming Gao, Christian Burmer, Frank Siegelin |
ATPG scan logic failure analysis: a case study of logic ICs - fault isolation, defect mechanism identification and yield improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 46(9-11), pp. 1458-1463, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Alfredo Benso, Alberto Bosio, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto |
ATPG for Dynamic Burn-In Test in Full-Scan Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 15th Asian Test Symposium, ATS 2006, Fukuoka, Japan, November 20-23, 2006, pp. 75-82, 2006, IEEE, 0-7695-2628-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Xijiang Lin, Kun-Han Tsai, Chen Wang 0014, Mark Kassab, Janusz Rajski, Takeo Kobayashi, Randy Klingenberg, Yasuo Sato, Shuji Hamada, Takashi Aikyo |
Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 15th Asian Test Symposium, ATS 2006, Fukuoka, Japan, November 20-23, 2006, pp. 139-146, 2006, IEEE, 0-7695-2628-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Masayoshi Yoshimura, Yusuke Matsunaga |
Development of practical ATPG tool with flexible interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 15th Asian Test Symposium, ATS 2006, Fukuoka, Japan, November 20-23, 2006, pp. 129, 2006, IEEE, 0-7695-2628-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Michel Renovell, Mariane Comte, Ilia Polian, Piet Engelke, Bernd Becker 0001 |
A Specific ATPG technique for Resistive Open with Sequence Recursive Dependency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 15th Asian Test Symposium, ATS 2006, Fukuoka, Japan, November 20-23, 2006, pp. 273-278, 2006, IEEE, 0-7695-2628-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|