The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASICs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1990 (27) 1991-1993 (18) 1994-1995 (26) 1996 (19) 1997 (18) 1998 (21) 1999 (23) 2000 (31) 2001 (25) 2002 (40) 2003 (43) 2004 (51) 2005 (36) 2006 (45) 2007 (41) 2008 (47) 2009 (20) 2010-2011 (21) 2012-2013 (17) 2014-2015 (21) 2016-2018 (26) 2019-2020 (22) 2021-2022 (16) 2023-2024 (11)
Publication types (Num. hits)
article(136) book(1) incollection(1) inproceedings(513) phdthesis(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 618 occurrences of 392 keywords

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Arie Margulis, David Akselrod, Eric Rentschler, Mike Ricchetti Evolution of Graphics Northbridge Test and Debug Architectures Across Four Generations of AMD ASICs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Peter De Dobbelaere Silicon Photonics Technology Platform for integration of optical IOs with ASICs. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Pierre-Emmanuel Gaillardon, Luca Gaetano Amarù, Shashikanth Bobba, Michele De Marchi, Davide Sacchetto, Yusuf Leblebici, Giovanni De Micheli Vertically-stacked double-gate nanowire FETs with controllable polarity: from devices to regular ASICs. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Azalia Mirhoseini, Ebrahim M. Songhori, Farinaz Koushanfar Idetic: A high-level synthesis approach for enabling long computations on transiently-powered ASICs. Search on Bibsonomy PerCom The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Gangqiang Yang, Xinxin Fan, Mark D. Aagaard, Guang Gong Design space exploration of the lightweight stream cipher WG-8 for FPGAs and ASICs. Search on Bibsonomy WESS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Colin Boyd, Cas Cremers, Michèle Feltz, Kenneth G. Paterson, Bertram Poettering, Douglas Stebila ASICS: Authenticated Key Exchange Security Incorporating Certification Systems. Search on Bibsonomy ESORICS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Pierre-Emmanuel Gaillardon, Michele De Marchi, Luca Gaetano Amarù, Shashikanth Bobba, Davide Sacchetto, Yusuf Leblebici, Giovanni De Micheli Towards structured ASICs using polarity-tunable Si nanowire transistors. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
19Ilia A. Lebedev, Christopher W. Fletcher, Shaoyi Cheng, James C. Martin, Austin Doupnik, Daniel Burke, Mingjie Lin, John Wawrzynek Exploring Many-Core Design Templates for FPGAs and ASICs. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Maurizio Costagliola, Davide De Caro, Antonio Girardi, Roberto Izzi, Niccolò Rinaldi, M. Spirito, P. Spirito An Experimental Power-Lines Model for Digital ASICs Based on Transmission Lines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Andreas Mauderer, Marvin Freier, Jan-Hendrik Oetjens, Wolfgang Rosenstiel Efficient digital design for automotive mixed-signal ASICs using simulink. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Vladimir Petrovic, Marko Ilic, Günter Schoof, Zoran Stamenkovic Design methodology for fault tolerant ASICs. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19David Riddoch, Steve Pope FPGA augmented ASICs: The time has come. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Bojan Jovanovic, Milun Jevtic Optimization of the Binary Adder Architectures Implemented in ASICs and FPGAs. Search on Bibsonomy SOFA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Hsin-Pei Tsai, Rung-Bin Lin, Liang-Chi Lai Design and analysis of via-configurable routing fabrics for structured ASICs. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Alejandro Cook, Dominik Ull, Melanie Elm, Hans-Joachim Wunderlich, Helmut Randoll, Stefan Dohren Reuse of Structural Volume Test Methods for In-System Testing of Automotive ASICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Holger Flatt, Sebastian Schriegel, Jürgen Jasperneite, Frank Schewe An FPGA based approach for the enhancement of COTS switch ASICs with real-time Ethernet functions. Search on Bibsonomy ETFA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
19Usman Ahmed, Guy G. Lemieux, Steven J. E. Wilton Performance and Cost Tradeoffs in Metal-Programmable Structured ASICs (MPSAs). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Houman Homayoun, Shahin Golshan, Eli Bozorgzadeh, Alexander V. Veidenbaum, Fadi J. Kurdahi On leakage power optimization in clock tree networks for ASICs and general-purpose processors. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Andreas Mauderer, Jan-Hendrik Oetjens, Wolfgang Rosenstiel System-Level Design for Automotive Mixed-Signal ASICs: An Industrial Point of View. Search on Bibsonomy MBMV The full citation details ... 2011 DBLP  BibTeX  RDF
19Piotr Kmon, Miroslaw Zoladz, Pawel Grybos, Robert Szczygiel Comparision of two different architectures of multichannel readout ASICs for neurobiological experiments. Search on Bibsonomy EUROCON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Joseph N. Kozhaya, Phillip J. Restle, Haifeng Qian Myth busters: Microprocessor clocking is from Mars, ASICs clocking is from Venus. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Dan Alexandrescu A comprehensive soft error analysis methodology for SoCs/ASICs memory instances. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Liang-Chi Lai, Hsih-Hang Chang, Rung-Bin Lin Rover: routing on via-configurable fabrics for standard-cell-like structured ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Nan Hua, Eric Norige, Sailesh Kumar, Bill Lynch Non-crypto Hardware Hash Functions for High Performance Networking ASICs. Search on Bibsonomy ANCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Networking ASIC, Evaluation, Hash Function
19Ricardo P. Jasinski Jari Nurmi: Processor Design - System-on-Chip Computing for ASICs and FPGAs. Springer (2007) ISBN 978-1-4020-5529-4. Search on Bibsonomy Comput. J. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Sin-Yu Chen, Rung-Bin Lin, Hui-Hsiang Tung, Kuen-Wey Lin Power gating design for standard-cell-like structured ASICs. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Syed Zahid Ahmed, Gilles Sassatelli, Lionel Torres, Laurent Rouge Survey of New Trends in Industry for Programmable Hardware: FPGAs, MPPAs, MPSoCs, Structured ASICs, eFPGAs and New Wave of Innovation in FPGAs. Search on Bibsonomy FPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Yu-Chen Chen, Hou-Yu Pang, Kuen-Wen Lin, Rung-Bin Lin, Hui-Hsiang Tung, Shih-Chieh Su Via configurable three-input lookup-tables for structured ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF via-configurable, layout, look-up-table, vlsi, structured ASIC
19Rung-Bin Lin, I-Wei Lee, Wen-Hao Chen Clock routing for structured ASICs with via-configurable fabrics. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Yair Linn A Carrier-Independent Non-Data-Aided Real-Time SNR Estimator for M-PSK and D-MPSK Suitable for FPGAs and ASICs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Gabriel Falcão Paiva Fernandes, Vítor Manuel Mendes da Silva, Leonel Sousa How GPUs can outperform ASICs for fast LDPC decoding. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory coalescence, parallel processing, graphics processing units, multithreading, low-density parity-check codes
19Naveed A. Sherwani Dreams, Plans, and Journey of Reaching Perfect Predictability and Reliability in ASICs. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19V. Vireen, N. Venugopalachary, G. Seetharaman, B. Venkataramani Built in Self Test Based Design of Wave-Pipelined Circuits in ASICs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Michael E. Auer, Danilo Garbi Zutin A Hybrid Lab for Evaluation of Analog ASICs. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Mei-Chen Li, Hui-Hsiang Tung, Chien-Chung Lai, Rung-Bin Lin Standard Cell Like Via-Configurable Logic Block for Structured ASICs. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Joseph Nimmy, C. Ramesh Reddy, Keshavan Varadarajan, Mythri Alle, Alexander Fell, S. K. Nandy 0001, Ranjani Narayan RECONNECT: A NoC for polymorphic ASICs using a low overhead single cycle router. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hariharan Sankaran High-Level Synthesis Framework for Crosstalk Minimization in VLSI ASICs. Search on Bibsonomy 2008   RDF
19Pradeep R. Fernando Genetic Algorithm Based Design and Optimization of VLSI ASICs and Reconfigurable Hardware. Search on Bibsonomy 2008   RDF
19Ciaran J. Brennan, Kiran V. Chatty, Jeff Sloan, Paul Dunn, Mujahid Muhammad, Robert Gauthier 0002 Design automation to suppress cable discharge event (CDE) induced latchup in 90 nm CMOS ASICs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ciaran J. Brennan, Shunhua Chang, Min Woo, Kiran V. Chatty, Robert Gauthier 0002 Implementation of diode and bipolar triggered SCRs for CDM robust ESD protection in 90 nm CMOS ASICs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Don Bouldin, Pradeep Chimakurthy Experiences Teaching Physical Synthesis of FPGAs and ASICs. Search on Bibsonomy MSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Peter C. Maxwell Principles and results of some test cost reduction methods for ASICs. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Rajamani Sethuram, Seongmoon Wang, Srimat T. Chakradhar, Michael L. Bushnell Zero Cost Test Point Insertion Technique for Structured ASICs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Claudio Menezes, Cristina Meinhardt, Ricardo Reis 0001, Reginaldo Tavares A Regular Layout Approach for ASICs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Yair Linn An Optimal Adaptive M-PSK Carrier Phase Detector Suitable for Fixed-Point Hardware Implementation within FPGAs and ASICs. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Rajamani Sethuram, Seongmoon Wang, Srimat T. Chakradhar, Michael L. Bushnell Zero Cost Test Point Insertion Technique to Reduce Test Set Size and Test Generation Time for Structured ASICs. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Philip R. Troyk, David E. Detlefsen, Glenn A. DeMichele, Douglas A. Kerns NeuroTalktrade: an interface for multifunctional neural engineering ASICs. Search on Bibsonomy EMBC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Himanshu Thapliyal, Vishal Verma, Hamid R. Arabnia A Double Precision Floating Point Multiplier Suitably Designed for FPGAs and ASICs. Search on Bibsonomy CDES The full citation details ... 2006 DBLP  BibTeX  RDF
19Shantanu Dutt, Huan Ren, Fenghua Yuan, Vishal Suthar A network-flow approach to timing-driven incremental placement for ASICs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Vikram Iyengar, Mark Johnson, Theo Anemikos, Gary Grise, Mark Taylor 0001, Raymond Farmer, Frank Woytowich, Bob Bassett Design For At-Speed Structural Test And Performance Verification Of High-Performance ASICs. Search on Bibsonomy CICC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jonathan Rose Invited Keynote 1: Closing the gap between FPGAs and ASICs. Search on Bibsonomy FPT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Vikram Iyengar, Toshihiko Yokota, Kazuhiro Yamada, Theo Anemikos, Bob Bassett, Mike Degregorio, Rudy Farmer, Gary Grise, Mark Johnson, Dave Milton, Mark Taylor 0001, Frank Woytowich At-Speed Structural Test For High-Performance ASICs. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19José M. de la Rosa 0001, Sara Escalera, Belén Pérez-Verdú, Fernando Medeiro, Oscar Guerra, Rocío del Río, Ángel Rodríguez-Vázquez A CMOS 110-dB@40-kS/s programmable-gain chopper-stabilized third-order 2-1 cascade sigma-delta Modulator for low-power high-linearity automotive sensor ASICs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Atanu Chattopadhyay, Zeljko Zilic GALDS: a complete framework for designing multiclock ASICs and SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Adam Augustin, Bartosz Maj, Arno Kostka A structure oriented compact thermal model for multiple heat source ASICs. Search on Bibsonomy Microelectron. J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Girish Venkataramani, Tiberiu Chelcea, Seth Copen Goldstein, Tobias Bjerregaard SOMA: a tool for synthesizing and optimizing memory accesses in ASICs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level synthesis, memory synthesis
19Ádám Monostori, Hans Holm Frühauf, Gabriella Kókai Quick Estimation of Resources of FPGAs and ASICs Using Neural Networks. Search on Bibsonomy LWA The full citation details ... 2005 DBLP  BibTeX  RDF
19Chris Schuermyer, Kevin Cota, Robert Madge, Brady Benware Identification of systematic yield limiters in complex ASICS through volume structural test fail data visualization and analysis. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Kan Takeuchi, Kazumasa Yanagisawa, Takashi Sato, Kazuko Sakamoto, Saburo Hojo Probabilistic crosstalk delay estimation for ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Dietmar Fey, Daniel Schmidt 0003, Andreas Loos Reconfigurable OPTO-ASICs as base for future self-organizing CMOS cameras. Search on Bibsonomy ARCS Workshops The full citation details ... 2004 DBLP  BibTeX  RDF
19Stefan Höreth Debugging and Diagnosis in Equivalence Checking of ASICs. Search on Bibsonomy MBMV The full citation details ... 2004 DBLP  BibTeX  RDF
19Arvind, Rishiyur S. Nikhil, Daniel L. Rosenband, Nirav Dave High-level synthesis: an essential ingredient for designing complex ASICs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Jim Brown, Reed Packer, Jagdish Prasad, Khris Kofford, Troy Dye, Bob Kirk Hybrid approach to structured ASICs for minimizing the impact of reticle costs and interconnect delay. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Brady Benware, Cam Lu, John Van Slyke, Prabhu Krishnamurthy, Robert Madge, Martin Keim, Mark Kassab, Janusz Rajski Affordable and Effective Screening of Delay Defects in ASICs using the Inline Resistance Fault Model. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Robert Madge, Brady Benware, W. Robert Daasch Obtaining High Defect Coverage for Frequency-Dependent Defects in Complex ASICs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jürgen Koehl, David E. Lackey, George W. Doerre IBM's 50 Million gate ASICs. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Leon Stok, John M. Cohn There is life left in ASICs. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF design cost, ASIC, design tools
19Raymond X. Nijssen, Ed P. Huijbregts A complete design for power methodology and flow for large ASICs. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Ludovic Tambour, Nacer-Eddine Zergainoh, Pascal Urard, Henri Michel, Ahmed Amine Jerraya An Efficient Methodology and Semi-Automated Flow for Design and Validation of Complex Digital Signal Processing ASICS Macro-Cells. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Atanu Chattopadhyay, Zeljko Zilic A globally asynchronous locally dynamic system for ASICs and SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF all-digital clock generation, dynamic clock manager, globally asynchronous locally synchronous system, asynchronous design
19Brady Benware, Robert Madge, Cam Lu, W. Robert Daasch Effectiveness Comparisons of Outlier Screening Methods for Frequency Dependent Defects on Complex ASICs. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19David E. Lackey, Paul S. Zuchowski, Jürgen Koehl Designing mega-ASICs in nanogate technologies. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF design productivity, system-on-chip, methodology, power management, signal integrity, time to market
19Pasi Palojärvi, Kari Määttä, Juha Kostamovaara Pulsed time-of-flight laser radar module with millimeter-level accuracy using full custom receiver and TDC ASICs. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Thomas R. Bednar, Patrick H. Buffet, Randall J. Darden, Scott W. Gould, Paul S. Zuchowski Issues and strategies for the physical design of system-on-a-chip ASICs. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Burcin Aktan, Garrison W. Greenwood, Molly H. Shor Improving evolutionary algorithm performance on maximizing functional test coverage of ASICs using adaptation of the fitness criteria. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Werner Haas 0003, Stefan Gossens, Ulrich Heinkel Behavioural Specification for Advanced Design and Verification of ASICs (ADeVA). Search on Bibsonomy MBMV The full citation details ... 2002 DBLP  BibTeX  RDF
19Bob Plunkett, David Chou Computational efficiency: adaptive computing vs. ASICs. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Larry Wissel, Scott Pheasant, Rory Loughran, Chris LeBlanc, Bill Klaasen Managing soft errors in ASICs. Search on Bibsonomy CICC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Alex K. Jones, Debabrata Bagchi, Satrajit Pal, Xiaoyong Tang, Alok N. Choudhary, Prithviraj Banerjee PACT HDL: a C compiler targeting ASICs and FPGAs with power and performance optimizations. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, low-power, compiler, SoC, synthesis, pipelining, VHDL, IP, ASIC, high-performance, FSM, Verilog, HDL, levelization
19Sandeep Dhar, Dragan Maksimovic, Bruno Kranzen Closed-loop adaptive voltage scaling controller for standard-cell ASICs. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay-line, variable-voltage, low-power, energy-efficient, design methodology, circuit design, standard-cell, DC-DC converter
19C.-H. Chia, Sujit Dey, Faraydon Karim, Haluk Konuk, Keesup Kim Validation and Test of Network Processors and ASICs. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Wolfgang Eberle, Veerle Derudder, Geert Vanwijnsberghe, Mario Vergara, Luc Deneire, Liesbet Van der Perre, Marc Engels, Ivo Bolsens, Hugo De Man 80-Mb/s QPSK and 72-Mb/s 64-QAM flexible and scalable digital OFDM transceiver ASICs for wireless local area networks in the 5-GHz band. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Nobutaro Shibata, Mayumi Watanabe, Yasuhiro Sato, Takako Ishihara, Yukio Komine A 2-V 300-MHz 1-Mb current-sensed double-density SRAM for low-power 0.3-μm CMOS/SIMOX ASICs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Sinan Kaptanoglu, John East, Tim Garverick, Scott Hauck, Tavana Tavana, Steven Trimberger, Ronnie Vasishta Is marriage in the cards for programmable logic, microprocessors and ASICs? Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Burcin Aktan, Molly H. Shor, Garrison W. Greenwood, P. Doyle Maximizing functional test coverage in ASICs using evolutionary algorithms. Search on Bibsonomy CEC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Joseph N. Kozhaya, Sani R. Nassif, Farid N. Najm I/O buffer placement methodology for ASICs. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Design and Test of Certifiable ASICs for Safety-Critical Gas Burners Contro. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Rob A. Rutenbar, Max Baron, Thomas Daniel, Rajeev Jayaraman, Zvi Or-Bach, Jonathan Rose, Carl Sechen Panel: (When) Will FPGAs Kill ASICs? Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Nobutaro Shibata, Hiroki Morimura, Mitsuru Harada 1-V 100-MHz embedded SRAM techniques for battery-operated MTCMOS/SIMOX ASICs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Mehrdad Nourani, Christos A. Papachristou Stability-based algorithms for high-level synthesis of digital ASICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Ásgeir Th. Eiríksson The Formal Design of 1M-gate ASICs. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Yuan Xie 0001, Wayne H. Wolf Co-synthesis with custom ASICs. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Cristian Chitu Development of fast sampling transient recorders with custom ASICs. (PDF / PS) Search on Bibsonomy 2000   RDF
19Badreddine Rejeb Real time implementation of image compression algorithms on ASICs. Search on Bibsonomy 2000   RDF
19Miodrag Potkonjak, Wayne H. Wolf A methodology and algorithms for the design of hard real-time multitasking ASICs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Shingo Kinoshita, Hiroyuki Yamashita, Toshihiko Suguri, Kouichi Nagami Hardware/software co-simulation focusing on functional verification of large-scale ASICs. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Stéphane Donnay, Marc van Heijningen, Mustafa Badaroglu, Wim Diels, Marc Engels, Ivo Bolsens, Yann A. Zinzius, Georges G. E. Gielen, Willy Sansen, Tony Fondén, Svante Signell BANDIT: embedding analog-to-digital converters on digital telecom ASICs. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Jeannie H. Panner, Thomas R. Bednar, Patrick H. Buffet, Douglas W. Kemerer, Douglas W. Stout, Paul S. Zuchowski The first copper ASICs: A 12M-gate technology. Search on Bibsonomy CICC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Ahmed Hemani, Johnny Öberg, Abhijit K. Deb, Dan Lindqvist, Björn Fjellborg System Level Virtual Prototyping of DSP ASICs Using Grammar Based Approach. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Joon-Seo Yim, Seong-Ok Bae, Chong-Min Kyung A Floorplan-Based Planning Methodology for Power and Clock Distribution in ASICs. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 665 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license