The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "CASES"( http://dblp.L3S.de/Venues/CASES )

URL (DBLP): http://dblp.uni-trier.de/db/conf/cases

Publication years (Num. hits)
2000 (28) 2001 (32) 2002 (39) 2003 (34) 2004 (34) 2005 (33) 2006 (43) 2007 (37) 2008 (30) 2009 (32) 2010 (31) 2011 (28) 2012 (24) 2013 (27) 2014 (25) 2015 (26) 2016 (22) 2017 (23) 2018 (15) 2020-2022 (34) 2023 (7)
Publication types (Num. hits)
inproceedings(581) proceedings(23)
Venues (Conferences, Journals, ...)
CASES(604)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 964 occurrences of 527 keywords

Results
Found 604 publication records. Showing 604 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Narasinga Rao Miniskar, Pankaj Shailendra Gode, Soma Kohli, Donghoon Yoo Function inlining and loop unrolling for loop acceleration in reconfigurable processors. Search on Bibsonomy CASES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Devendra Rai, Hoeseok Yang, Iuliana Bacivarov, Lothar Thiele Power agnostic technique for efficient temperature estimation of multicore embedded systems. Search on Bibsonomy CASES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gaurav Chadha, Scott A. Mahlke, Satish Narayanasamy When less is more (LIMO): controlled parallelism forimproved efficiency. Search on Bibsonomy CASES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xuemeng Zhang, Hui Wu 0001, Jingling Xue An efficient heuristic for instruction scheduling on clustered vliw processors. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sang Lyul Min, Eyee Hyun Nam Hardware/software architecture for flash memory storage systems. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rajesh K. Gupta 0001, Vincent John Mooney (eds.) Proceedings of the 14th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2011, part of the Seventh Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14, 2011 Search on Bibsonomy CASES The full citation details ... 2011 DBLP  BibTeX  RDF
1Adam R. Smith, Prasad A. Kulkarni Localizing globals and statics to make C programs thread-safe. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Abbas BanaiyanMofrad, Houman Homayoun, Nikil D. Dutt FFT-cache: a flexible fault-tolerant cache architecture for ultra low voltage operation. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Viswanath Krishnamurthy, Swamy D. Ponpandi, Akhilesh Tyagi A novel thread scheduler design for polymorphic embedded systems. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ricardo S. Ferreira 0001, Julio C. Goldner Vendramini, Lucas Mucida, Monica Magalhães Pereira, Luigi Carro An FPGA-based heterogeneous coarse-grained dynamically reconfigurable architecture. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Arvind Automatic generation of hardware/software interfaces. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1John Sartori, Joseph Sloan, Rakesh Kumar 0002 Stochastic computing: embracing errors in architectureand design of processors and applications. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chih-Sheng Wang, Guillermo A. Pérez 0001, Yeh-Ching Chung, Wei-Chung Hsu, Wei-Kuan Shih, Hong-Rong Hsu A method-based ahead-of-time compiler for android applications. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Brett H. Meyer, Benton H. Calhoun, John C. Lach, Kevin Skadron Cost-effective safety and fault localization using distributed temporal redundancy. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Eunjung Park, Sameer Kulkarni, John Cavazos An evaluation of different modeling techniques for iterative compilation. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Quentin Colombet, Florian Brandner, Alain Darte Studying optimal spilling in the light of SSA. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ke Bai 0002, Di Lu, Aviral Shrivastava Vector class on limited local memory (LLM) multi-core processors. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Heiko Falk, Helena Kotthaus WCET-driven cache-aware code positioning. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amit Kumar Singh 0002, Akash Kumar 0001, Thambipillai Srikanthan A hybrid strategy for mapping multiple throughput-constrained applications on MPSoCs. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gernot Heiser Low-overhead virtualization of mobile platforms. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Reiley Jeyapaul, Aviral Shrivastava Smart cache cleaning: energy efficient vulnerability reduction in embedded processors. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Quentin Colombet, Benoit Boissinot, Philip Brisk, Sebastian Hack, Fabrice Rastello Graph-coloring and treescan register allocation using repairing. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mafijul Md. Islam, Per Stenström A unified approach to eliminate memory accesses early. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sascha Plazar, Jan C. Kleinsorge, Heiko Falk, Peter Marwedel WCET-driven branch prediction aware code positioning. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1John Sartori, Rakesh Kumar 0002 Architecting processors to allow voltage/reliability tradeoffs. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wajid Hassan Minhass, Paul Pop, Jan Madsen System-level modeling and synthesis of flow-based microfluidic biochips. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Siddharth Nilakantan, Srikanth Annangi, Nikhil Gulati, Karthik Sangaiah, Mark Hempstead Evaluation of an accelerator architecture for speckle reducing anisotropic diffusion. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tayyeb Mahmood, Soontae Kim Realizing near-true voltage scaling in variation-sensitive l1 caches via fault buffers. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Seong-Won Lee, Soo-Mook Moon Selective just-in-time compilation for client-side mobile javascript engine. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Linh T. X. Phan, Insup Lee 0001, Oleg Sokolsky Compositional analysis of real-time embedded systems. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alena Simalatsar, Yusi Ramadian, Kai Lampka, Simon Perathoner, Roberto Passerone, Lothar Thiele Enabling parametric feasibility analysis in real-time calculus driven performance evaluation. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Krishna V. Palem Compilers, architectures and synthesis for embedded computing: retrospect and prospect. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Elena Maftei, Paul Pop, Jan Madsen Routing-based synthesis of digital microfluidic biochips. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zhimin Chen 0002, Ambuj Sinha, Patrick Schaumont Implementing virtual secure circuit using a custom-instruction approach. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vladimir Uzelac, Aleksandar Milenkovic Hardware-based data value and address trace filtering techniques. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sebastian Buchwald, Andreas Zwinkau Instruction selection by graph transformation. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ganesh S. Dasika, Mark Woh, Sangwon Seo, Nathan Clark, Trevor N. Mudge, Scott A. Mahlke Mighty-morphing power-SIMD. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1JongSoo Park, James D. Balfour, William J. Dally Fine-grain dynamic instruction placement for L0 scratch-pad memory. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Luigi Carro, Georgi Gaydadjiev Challenges for embedded multicore architecture. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jonghee M. Youn, Jongwon Lee, Yunheung Paek, Jongwung Kim, Jeonghun Cho Implementing dynamic implied addressing mode for multi-output instructions. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ji Gu, Hui Guo 0001 Enabling large decoded instruction loop caching for energy-aware embedded processors. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yun Liang 0001, Tulika Mitra Improved procedure placement for set associative caches. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Cupertino Miranda, Antoniu Pop, Philippe Dumont, Albert Cohen 0001, Marc Duranton Erbium: a deterministic, concurrent intermediate representation to map data-flow tasks to scalable, persistent streaming processes. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sylvain Girbal, Olivier Temam, Sami Yehia, Hugues Berry, Zheng Li A memory interface for multi-purpose multi-stream accelerators. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrea Marongiu, Paolo Burgio, Luca Benini Vertical stealing: robust, locality-aware do-all workload distribution for 3D MPSoCs. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mafijul Md. Islam, Per Stenström Characterization and exploitation of narrow-width loads: the narrow-width cache approach. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Danny Petrasek, Alan Barr, Krishna V. Palem The virtual hospital: the emergence of telemedicine. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Arindam Mallik, Peter Marwedel, Dimitrios Soudris, Sander Stuijk MNEMEE: a framework for memory management and optimization of static and dynamic data in MPSoCs. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Arup Chakraborty, Houman Homayoun, Amin Khajeh, Nikil D. Dutt, Ahmed M. Eltawil, Fadi J. Kurdahi E < MC2: less energy through multi-copy cache. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Lovic Gauthier, Tohru Ishihara, Hideki Takase, Hiroyuki Tomiyama, Hiroaki Takada Minimizing inter-task interferences in scratch-pad memory usage for reducing the energy consumption of multi-task systems. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ratna Krishnamoorthy, Keshavan Varadarajan, Ganesh Garga, Mythri Alle, S. K. Nandy 0001, Ranjani Narayan, Masahiro Fujita Towards minimizing execution delays on dynamically reconfigurable processors: a case study on REDEFINE. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xuejun Yang, Li Wang 0027, Jingling Xue, Tao Tang 0001, Xiaoguang Ren, Sen Ye Improving scratchpad allocation with demand-driven data tiling. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vladimir Uzelac, Aleksandar Milenkovic, Martin Burtscher, Milena Milenkovic Real-time unobtrusive program execution trace compression using branch predictor events. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mircea Namolaru, Albert Cohen 0001, Grigori Fursin, Ayal Zaks, Ari Freund 0001 Practical aggregation of semantical program properties for machine learning based optimization. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dongwon Lee 0003, Marilyn Wolf, Hyesoon Kim Design space exploration of the turbo decoding algorithm on GPUs. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zvi M. Kedem, Vincent John Mooney, Kirthi Krishna Muntimadugu, Krishna V. Palem, Avani Devarasetty, Phani Deepak Parasuramuni Optimizing energy to minimize errors in dataflow graphs using approximate adders. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alan H. Barr Parsimonious information technologies for pixels, perception, wetware and simulation: issues for Petrasek's global virtual hospital system. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Apala Guha, Kim M. Hazelwood, Mary Lou Soffa Balancing memory and performance through selective flushing of software code caches. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michael R. Jantz, Prasad A. Kulkarni Eliminating false phase interactions to reduce optimization phase order search space. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rajeswari Pingali, P. Niranjana Mosaic of organic development through technology intervention in the rural indian context. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yongjun Park 0001, Hyunchul Park 0001, Scott A. Mahlke, Sukjin Kim Resource recycling: putting idle resources to work on a composable accelerator. Search on Bibsonomy CASES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vinod Kathail, Reid Tatge, Rajeev Barua (eds.) Proceedings of the 2010 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2010, Scottsdale, AZ, USA, October 24-29, 2010 Search on Bibsonomy CASES The full citation details ... 2010 DBLP  BibTeX  RDF
1Kapil Anand, Rajeev Barua Instruction cache locking inside a binary rewriter. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache locking, embedded systems, caches, binary rewriting
1Duo Liu, Zili Shao, Meng Wang 0005, Minyi Guo, Jingling Xue Optimal loop parallelization for maximizing iteration-level parallelism. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF iteration-level parallelism, retiming, loop transformation, loop parallelization, data dependence graph
1Dan Fay, Li Shang, Dirk Grunwald A platform for developing adaptable multicore applications. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelization, multicore, run-time systems, frequency scaling, application adaptation
1Yu Wang 0002, Jiang Xu 0001, Shengxi Huang, Weichen Liu, Huazhong Yang A case study of on-chip sensor network in multiprocessor system-on-chip. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor network, reliability, low-power, system on chip, dynamic control, power grid noise
1M. Haykel Ben Jamaa, Gianfranco Cerofolini, Yusuf Leblebici, Giovanni De Micheli Complete nanowire crossbar framework optimized for the multi-spacer patterning technique. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MSPT, spacer technique, decoder, emerging technologies, gray code, crossbars, nanowires
1Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Fine-grain performance scaling of soft vector processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VESPA, soft vector processor, viram, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
1Priya Narasimhan, Rajeev Gandhi, Dan Rossi Smartphone-based assistive technologies for the blind. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF assistive technonologies, currency identification, visual impairment, blind, transportation, smartphones, retail
1Mahmut T. Kandemir, Yuanrui Zhang, Sai Prashanth Muralidhara, Ozcan Ozturk 0001, Sri Hari Krishna Narayanan Slicing based code parallelization for minimizing inter-processor communication. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF automatic code parallelization, code analysis and optimization, iteration space slicing, parallelizing compilers
1Partha S. Roop, Sidharta Andalam, Reinhard von Hanxleden, Simon Yuan, Claus Traulsen Tight WCRT analysis of synchronous C programs. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF precision timed machines, model checking, synchronous languages, static timing analysis
1Mouad Bahi, Christine Eisenbeis Spatial complexity of reversibly computable DAG. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF garbage minimization, spatial complexity, reversible computing
1Ian Gray, Neil C. Audsley Exposing non-standard architectures to embedded software using compile-time virtualisation. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architectures, virtualization, embedded, application-specific, compile-time
1Jin Ouyang, Raghuveer Raghavendra, Sibin Mohan, Tao Zhang 0032, Yuan Xie 0001, Frank Mueller 0001 CheckerCore: enhancing an FPGA soft core to capture worst-case execution times. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LEON3, checkercore, shadow pipeline, FPGA, embedded system, real-time, WCET, worst-case-execution-time, SPARC
1Elena Maftei, Paul Pop, Jan Madsen Tabu search-based synthesis of dynamically reconfigurable digital microfluidic biochips. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurability, microfluidics, biochips
1Jinho Seol, Hyotaek Shim, Jaegeuk Kim, Seungryoul Maeng A buffer replacement algorithm exploiting multi-chip parallelism in solid state disks. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF buffer replacement algorithm, nand flash memory, solid state disk (SSD)
1Fabian Scheler, Wanja Hofer, Benjamin Oechslein, Rudi Pfister, Wolfgang Schröder-Preikschat, Daniel Lohmann Parallel, hardware-supported interrupt handling in an event-triggered real-time operating system. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ciao, priority-driven, rate-monotonic priority inversion, tricore, real-time systems, interrupt handling
1Mark Hempstead, Gu-Yeon Wei, David M. Brooks An accelerator-based wireless sensor network processor in 130nm CMOS. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF accelerator-based, wireless sensor networks, ultra-low power
1Alexander Fell, Mythri Alle, Keshavan Varadarajan, Prasenjit Biswas, Saptarsi Das, Jugantor Chetia, S. K. Nandy 0001, Ranjani Narayan Streaming FFT on REDEFINE-v2: an application-architecture design space exploration. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF application synthesis, custom instruction extension, dataflow software pipeline, honeycomb, polymorphic asic, runtime reconfiguration, router, NOC
1Yuexuan Wang, Yongcai Wang, Xiao Qi, Liwen Xu OPAIMS: open architecture precision agriculture information monitoring system. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF information monitoring, wireless sensor networks, agriculture
1Mark S. K. Lau, Keck Voon Ling, Yun-Chung Chu Energy-aware probabilistic multiplier: design and analysis. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, multiplier, voltage scaling, probabilistic computation
1Yongjun Park 0001, Hyunchul Park 0001, Scott A. Mahlke CGRA express: accelerating execution using dynamic operation fusion. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF latency-constrained, subgraph accelerator, modulo scheduling, coarse-grained reconfigurable architecture
1Rooju Chokshi, Krzysztof S. Berezowski, Aviral Shrivastava, Stanislaw J. Piestrak Exploiting residue number system for power-efficient digital signal processing in embedded processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, power, processor, residue number system, per-
1Jörg Henkel, Sri Parameswaran (eds.) Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2009, Grenoble, France, October 11-16, 2009 Search on Bibsonomy CASES The full citation details ... 2009 DBLP  BibTeX  RDF
1Yong Dou, Fei Xia, Jingfei Jiang Fine-grained parallel application specific computing for RNA secondary structure prediction using SCFGS on FPGA. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SCFGS, reconfigurable algorithm accelerator, secondary structure prediction, FPGA, RNA
1Tao Li 0008, Zhigang Sun, Wu Jigang, Xicheng Lu Fast enumeration of maximal valid subgraphs for custom-instruction identification. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ISE identification, custom processors, maximal subgraph
1Garo Bournoutian, Alex Orailoglu Reducing impact of cache miss stalls in embedded systems by extracting guaranteed independent instructions. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler assisted hardware, pipeline stalls, embedded processors, data cache
1Soon Fatt Yoon III-V/Si integration: potential and outlook for integrated low power micro and nanosystems. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous integration
1Krishna V. Palem, Lakshmi N. Chakrapani, Zvi M. Kedem, Lingamneni Avinash, Kirthi Krishna Muntimadugu Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF approximate arithmetic, approximate design, probabilistic CMOS, probabilistic arithmetic, probabilistic design, digital signal processing
1Paul M. Carpenter, Alex Ramírez, Eduard Ayguadé Mapping stream programs onto heterogeneous multiprocessor systems. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partitioning, multicore, convexity, stream programming
1Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Hybrid multithreading for VLIW processors. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multithreading, clustered VLIW processors
1Avesta Sasan, Houman Homayoun, Ahmed M. Eltawil, Fadi J. Kurdahi A fault tolerant cache architecture for sub 500mV operation: resizable data composer cache (RDC-cache). Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power memory organization, memory organization., remapping cache, variation aware cache, fault tolerance, low power design, low power cache, vfs
1Dietmar Ebner, Bernhard Scholz, Andreas Krall Progressive spill code placement. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF constrained min-cut, spilling, register allocation, SSA form
1Joseph Sloan, Rakesh Kumar 0002 Towards scalable reliability frameworks for error prone CMPs. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic constitution, in-network fault tolerance
1Margaret Martonosi ZebraNet and beyond: applications and systems support for mobile, dynamic networks. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Hoseok Chang, Wonyong Sung Efficient vectorization of SIMD programs with non-aligned and irregular data access hardware. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF irregular access, non-aligned access, packing buffer, split line buffer, compiler, SIMD, vectorization
1Chengmo Yang, Alex Orailoglu A light-weight cache-based fault detection and checkpointing scheme for MPSoCs enabling relaxed execution synchronization. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault detection, checkpointing, fault recovery
1Jerry Hom, Ulrich Kremer Execution context optimization for disk energy. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, user study, multiprogramming, runtime adaptation
1Walter Binder, Alex Villazón, Martin Schoeberl, Philippe Moret Cache-aware cross-profiling for java processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cross-profiling, embedded java processors, platform-independent dynamic metrics, bytecode instrumentation
1Lakshmi N. Chakrapani, Kirthi Krishna Muntimadugu, Lingamneni Avinash, Jason George, Krishna V. Palem Highly energy and performance efficient embedded computing through approximately correct arithmetic: a mathematical foundation and preliminary experimental validation. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF digital signal pocessing, voltage overscaling
Displaying result #201 - #300 of 604 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license