The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DEBUG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1984 (15) 1985-1989 (20) 1990 (21) 1991-1992 (21) 1993 (21) 1994 (16) 1995-1996 (20) 1997-1998 (29) 1999 (30) 2000 (21) 2001 (31) 2002 (39) 2003 (48) 2004 (63) 2005 (52) 2006 (77) 2007 (105) 2008 (95) 2009 (65) 2010 (42) 2011 (38) 2012 (32) 2013 (33) 2014 (34) 2015 (39) 2016 (30) 2017 (26) 2018 (22) 2019 (24) 2020 (26) 2021 (21) 2022 (31) 2023-2024 (19)
Publication types (Num. hits)
article(226) incollection(2) inproceedings(970) phdthesis(8)
Venues (Conferences, Journals, ...)
ITC(59) DAC(35) DATE(35) CoRR(28) VTS(28) IEEE Des. Test Comput.(26) FPL(20) MTV(16) IEEE Trans. Very Large Scale I...(15) ISQED(15) SIGCSE(14) ETS(13) FPT(13) IEEE Trans. Software Eng.(12) IEEE Trans. Comput. Aided Des....(11) ISSTA(11) More (+10 of total 475)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 883 occurrences of 557 keywords

Results
Found 1206 publication records. Showing 1206 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Nghi D. Q. Bui, Yue Wang, Steven Hoi Detect-Localize-Repair: A Unified Framework for Learning to Debug with CodeT5. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Cristian Assaiante, Daniele Cono D'Elia, Giuseppe Antonio Di Luna, Leonardo Querzoni Where Did My Variable Go? Poking Holes in Incomplete Debug Information. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yang Su, Damith C. Ranasinghe Leaving Your Things Unattended is No Joke! Memory Bus Snooping and Open Debug Interface Exploits. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
16Christopher J. Anders, Leander Weber, David Neumann, Wojciech Samek, Klaus-Robert Müller, Sebastian Lapuschkin Finding and removing Clever Hans: Using explanation methods to debug and improve deep models. Search on Bibsonomy Inf. Fusion The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jasper de Winkel, Tom Hoefnagel, Boris Blokland, Przemyslaw Pawelczak DIPS: Debug Intermittently-Powered Systems Like Any Embedded System. Search on Bibsonomy SenSys The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Steven Costiou, Vincent Aranega, Marcus Denker Reflection as a Tool to Debug Objects. Search on Bibsonomy SLE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Vaibhav Kiran Kurhe, Pratik Karia, Shubhani Gupta, Abhishek Rose, Sorav Bansal Automatic Generation of Debug Headers through BlackBox Equivalence Checking. Search on Bibsonomy CGO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ranjitha K., Praveen Tammana, Pravein Govindan Kannan, Priyanka Naik A Case For Cross-Domain Observability to Debug Performance Issues in Microservices. Search on Bibsonomy CLOUD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Kyung Su Lee Enhanced password protection mechanism for debug interface connection on ECU. Search on Bibsonomy ASCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yang Su, Damith C. Ranasinghe Leaving Your Things Unattended is No Joke! Memory Bus Snooping and Open Debug Interface Exploits. Search on Bibsonomy PerCom Workshops The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Tao Huang, Haoqi Ren, Zhifeng Zhang, Bin Tan, Jun Wu A Low-Cost Semihosting Approach to Debug DSP Application. Search on Bibsonomy ChinaCom The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Zakary Nafziger, Martin Chua, Daniel Holanda Noronha, Steven J. E. Wilton Boosting Domain-Specific Debug Through Inter-frame Compression. Search on Bibsonomy FPT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Rita Garcia, Chieh-Ju Liao, Ariane Pearce Read the Debug Manual: A Debugging Manual for CS1 Students. Search on Bibsonomy FIE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Muhammad Adeel Zahid, Basit Shafiq, Shafay Shamail, Ayesha Afzal, Jaideep Vaidya BP-DEBUG: A Fault Debugging and Resolution Tool for Business Processes. Search on Bibsonomy ICDCS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Nghi Bui, Yue Wang 0034, Steven C. H. Hoi Detect-Localize-Repair: A Unified Framework for Learning to Debug with CodeT5. Search on Bibsonomy EMNLP (Findings) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Sankaran M. Menon, Rolf Kühnis Special Session: Closed Chassis Platform Debug of Compute Systems using the Functional Ubiquitous USB Type-C Receptacle. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Riccardo Cantoro, Francesco Garau, Riccardo Masante, Sandro Sartoni, Virendra Singh, Matteo Sonza Reorda Exploiting post-silicon debug hardware to improve the fault coverage of Software Test Libraries. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Mariam Guizani, Igor Steinmacher, Jillian Emard, Abrar Fallatah, Margaret Burnett, Anita Sarma How to Debug Inclusivity Bugs? A Debugging Process with Information Architecture. Search on Bibsonomy ICSE-SEIS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Hazem M. Fahmy, Fabrizio Pastore, Lionel C. Briand HUDD: A tool to debug DNNs for safety analysis. Search on Bibsonomy ICSE-Companion The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Sebastian Huhn 0001, Rolf Drechsler Next Generation Design For Testability, Debug and Reliability Using Formal Techniques. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jun-Yang Lei, Abhijit Chatterjee ML-Assisted Bug Emulation Experiments for Post-Silicon Multi-Debug of AMS Circuits. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Anthony Favier, Phani-Teja Singamaneni, Rachid Alami An Intelligent Human Avatar to Debug and Challenge Human-aware Robot Navigation Systems. Search on Bibsonomy HRI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jun Liu, Ting Chong, Liang Liu, Xige Zhang Software Solution of Secure Debug Based on RISC-V CPU. Search on Bibsonomy ICCSIE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Hayoung Lee, Hyunggoy Oh, Sungho Kang 0001 On-Chip Error Detection Reusing Built-In Self-Repair for Silicon Debug. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Sahil Verma, Subhajit Roy 0001 Debug-localize-repair: a symbiotic construction for heap manipulations. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Sidhartha Sankar Rout, Sujay Deb, Kanad Basu WiND: An Efficient Post-Silicon Debug Strategy for Network on Chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Thomas Hirsch, Birgit Hofer What we can learn from how programmers debug their code. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
16Gidon Ernst, Johannes Blau, Toby Murray Deductive Verification via the Debug Adapter Protocol. Search on Bibsonomy F-IDE@NFM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Björn Daase, Leon Matthes, Lukas Pirl, Lukas Wenzel Observing a Moving Target - Reliable Transmission of Debug Logs from Embedded Mobile Devices. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
16Xiaoxue Ma, Shangru Wu, Ernest Bota Pobee, Xiupei Mei, Hao Zhang 0085, Bo Jiang 0001, Wing Kwong Chan RegionTrack: A Trace-Based Sound and Complete Checker to Debug Transactional Atomicity Violations and Non-Serializable Traces. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Thomas Hirsch, Birgit Hofer What we can learn from how programmers debug their code. Search on Bibsonomy SER&IP@ICSE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Marius Musch, Martin Johns U Can't Debug This: Detecting JavaScript Anti-Debugging Techniques in the Wild. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2021 DBLP  BibTeX  RDF
16Ahmed I. Ahmed, Samy H. Sharf, Lobna A. Said, Ahmed H. Madian Design of IoT Microchip AVR Programmer for FOTA Updates based on Unified Programming and Debug Interface using Wi-Fi and LoRa. Search on Bibsonomy ICECS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Daniel Holanda Noronha, Zhiqiang Que, Wayne Luk, Steven J. E. Wilton Flexible Instrumentation for Live On-Chip Debug of Machine Learning Training on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Prokash Ghosh, Khwahish Sinha A Framework for Evaluation of Debug Path Performance in SoC. Search on Bibsonomy SoCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Pascal Pieper, Ralf Wimmer 0001, Gerhard Angst, Rolf Drechsler Minimally Invasive HW/SW Co-debug Live Visualization on Architecture Level. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Marcel Merten, Sebastian Huhn 0001, Rolf Drechsler A Codeword-based Compactor for On-Chip Generated Debug Data Using Two-Stage Artificial Neural Networks. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Björn Daase, Leon Matthes, Lukas Pirl, Lukas Wenzel Observing a Moving Target - Reliable Transmission of Debug Logs from Mobile Embedded Devices. Search on Bibsonomy IC2E The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Mu-Ting Wu, Cheng-Sian Kuo, James Chien-Mo Li, Chris Nigh, Gaurav Bhargava Improving Volume Diagnosis and Debug with Test Failure Clustering and Reorganization. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Chris Nigh, Gaurav Bhargava, Ronald D. Blanton AAA: Automated, On-ATE AI Debug of Scan Chain Failures. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Pandy Kalimuthu, Kanad Basu, Benjamin Carrión Schäfer Efficient Hierarchical Post-Silicon Validation and Debug. Search on Bibsonomy VLSID The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Lubomir Bogdanov Logging Debug Data from IoT Embedded Devices over the GSM Network. Search on Bibsonomy MIXDES The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Giuseppe Antonio Di Luna, Davide Italiano, Luca Massarelli, Sebastian Österlund, Cristiano Giuffrida, Leonardo Querzoni Who's debugging the debuggers? exposing debug information bugs in optimized binaries. Search on Bibsonomy ASPLOS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Benjamin Cosman PABLO and PYRITE: Helping Novices Debug Python Code Through Data-Driven Fault Localization and Repair. Search on Bibsonomy 2021   RDF
16Al-Shahna Jamal, Eli Cahill, Jeffrey Goeders, Steven J. E. Wilton Fast Turnaround HLS Debugging Using Dependency Analysis and Debug Overlays. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Binod Kumar 0001, Jay Adhaduk, Kanad Basu, Masahiro Fujita, Virendra Singh A Methodology to Capture Fine-Grained Internal Visibility During Multisession Silicon Debug. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Kamran Rahmani, Prabhat Mishra 0001 Feature-Based Signal Selection for Post-Silicon Debug Using Machine Learning. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Xin Peng 0001 Helping Developers Analyze and Debug Industrial Microservice Systems. Search on Bibsonomy Computer The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Wenzhe Chen, Jaifei Yao, Tian Xia 0005 A 28 GHz LNA Circuit Layout Debug through Electromagnetic Analysis. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Siamack BeigMohammadi, Bijan Alizadeh Combinational Hybrid Signal Selection With Updated Reachability Lists for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Martin Erb, Christian Steger, Martin Troyer, Josef Preishuber-Pflügl A Novel Automated Interoperability Test and Debug System for Near-Field Communication. Search on Bibsonomy Int. J. RF Technol. Res. Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yuting Cao, Hao Zheng 0001, Sandip Ray, Jin Yang 0006 A Post-Silicon Trace Analysis Approach for System-on-Chip Protocol Debug. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Kavi Gupta, Peter Ebert Christensen, Xinyun Chen, Dawn Song Synthesize, Execute and Debug: Learning to Repair for Neural Program Synthesis. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Sahil Verma, Subhajit Roy 0001 Debug-Localize-Repair: A Symbiotic Construction for Heap Manipulations. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Giuseppe Antonio Di Luna, Davide Italiano, Luca Massarelli, Sebastian Österlund, Cristiano Giuffrida, Leonardo Querzoni Who is Debugging the Debuggers? Exposing Debug Information Bugs in Optimized Binaries. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Raoni Lourenço, Juliana Freire, Dennis E. Shasha BugDoc: Algorithms to Debug Computational Processes. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Xiaoxue Ma, Shangru Wu, Ernest Bota Pobee, Xiupei Mei, Hao Zhang 0085, Bo Jiang 0001, Wing Kwong Chan RegionTrack: A Trace-based Sound and Complete Checker to Debug Transactional Atomicity Violations and Non-Serializable Traces. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
16Vítor Silva 0003, Leonardo Neves, Renan Souza 0001, Alvaro L. G. A. Coutinho, Daniel de Oliveira 0001, Marta Mattoso Adding domain data to code profiling tools to debug workflow parallel execution. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Josie Holmes, Alex Groce Using mutants to help developers distinguish and debug (compiler) faults. Search on Bibsonomy Softw. Test. Verification Reliab. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Bhanu Singh, Sharath Patil Single Wire Debug Interface. Search on Bibsonomy MWSCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ma Chi Backward Slicing Analysis on Debug Log for Crash Comprehension. Search on Bibsonomy ICSCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Chih-Yan Liu, Mu-Ting Wu, James Chien-Mo Li, Gaurav Bhargava, Chris Nigh Systematic Hold-time Fault Diagnosis and Failure Debug in Production Chips. Search on Bibsonomy ATS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Raoni Lourenço, Juliana Freire, Dennis E. Shasha BugDoc: Algorithms to Debug Computational Processes. Search on Bibsonomy SIGMOD Conference The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Timo Kersten, Thomas Neumann 0001 On another level: how to debug compiling query engines. Search on Bibsonomy DBTest@SIGMOD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Benjamin Cosman, Madeline Endres, Georgios Sakkas, Leon Medvinsky, Yao-Yuan Yang, Ranjit Jhala, Kamalika Chaudhuri, Westley Weimer PABLO: Helping Novices Debug Python Code Through Data-Driven Fault Localization. Search on Bibsonomy SIGCSE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yuanbo Li, Shuo Ding, Qirun Zhang, Davide Italiano Debug information validation for optimized code. Search on Bibsonomy PLDI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Murat Mülayim, Arda Goknil, Kasim Sinan Yildirim Taskify: An Integrated Development Environment to Develop and Debug Intermittent Software for the Batteryless Internet of Things. Search on Bibsonomy DCOSS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Masaya Sato, Hideo Taniguchi, Ryosuke Nakamura Virtual Machine Monitor-based Hiding Method for Access to Debug Registers. Search on Bibsonomy CANDAR The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Kavi Gupta, Peter Ebert Christensen, Xinyun Chen, Dawn Song Synthesize, Execute and Debug: Learning to Repair for Neural Program Synthesis. Search on Bibsonomy NeurIPS The full citation details ... 2020 DBLP  BibTeX  RDF
16Sebastian Huhn 0001 Next generation design for testability, debug and reliability using formal techniques. Search on Bibsonomy 2020   RDF
16Keith A. Campbell, David Lin, Leon He, Liwei Yang, Swathi T. Gurumani, Kyle Rupnow, Subhasish Mitra, Deming Chen Hybrid Quick Error Detection: Validation and Debug of SoCs Through High-Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Yun Cheng, Huawei Li 0001, Ying Wang 0001, Xiaowei Li 0001 Cluster Restoration-Based Trace Signal Selection for Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Binod Kumar 0001, Masahiro Fujita, Virendra Singh SAT-based Silicon Debug of Electrical Errors under Restricted Observability Enhancement. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Yuting Cao, Hao Zheng 0001, Sandip Ray A Communication-Centric Observability Selection for Post-Silicon System-on-Chip Integration Debug. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Sandeep Kaur Kuttal, Anita Sarma, Margaret Burnett, Gregg Rothermel, Ian Koeppe, Brooke Shepherd How end-user programmers debug visual web-based programs: An information foraging theory perspective. Search on Bibsonomy J. Comput. Lang. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Sankaran M. Menon, Ashish Gupta, Chinna Prudvi, Rolf Kühnis, Sukhbinder Singh Takhar, Spencer K. Millican, Eric Rentschler, Pandy Kalimuthu, Preeti Ranjan Panda, Priyadarsan Patra Techniques for Debug of Low Power SoCs. Search on Bibsonomy MTV The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Daniel Holanda Noronha, Ruizhe Zhao, Jeffrey Goeders, Wayne Luk, Steven J. E. Wilton On-chip FPGA Debug Instrumentation for Machine Learning Applications. Search on Bibsonomy FPGA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Michele Portolan, Riccardo Cantoro, Ernesto Sánchez 0001 A Functional Approach to Test and Debug of IEEE 1687 Reconfigurable Networks. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Robert Hale, Brad L. Hutchings Preallocating Resources for Distributed Memory Based FPGA Debug. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Breanne K. Litts, Apoorva Chauhan, Chase K. Mortensen, Kamaehu Matthias I'm Drowning in Squirrels!: How Children Embody and Debug Computational Algorithms Through Designing Mixed Reality Games. Search on Bibsonomy IDC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Toyomi Ishida, Hidetake Uwano Time series analysis of programmer's EEG for debug state classification. Search on Bibsonomy Programming The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Zhen Hong, Zinan Li, Yubin Xia SDVisor: Secure Debug Enclave with Hypervisor. Search on Bibsonomy SOSE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Chujie Lu, Long Chen 0016, Chilie Tan, Xiaolin Li, Jun Xiao 0001 DEBUG: A Dense Bottom-Up Grounding Approach for Natural Language Video Localization. Search on Bibsonomy EMNLP/IJCNLP (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Yuting Cao, Hao Zheng 0001, Sandip Ray A Communication-Centric Observability Selection for Post-Silicon System-on-Chip Integration Debug. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Amit Jakati, Manish Sharma, Joy Liao Innovative Practices on Software and Hardware based Silicon Debug/Fault Isolation. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Daniel Holanda Noronha, Ruizhe Zhao, Zhiqiang Que, Jeffrey Goeders, Wayne Luk, Steven J. E. Wilton An Overlay for Rapid FPGA Debug of Machine Learning Applications. Search on Bibsonomy FPT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Luis D. Rojas, Kevin Hess, Christina Carter-Brown Effectively Using Machine Learning to Expedite System Level Test Failure Debug. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Miguel Campusano, Alexandre Bergel VizRob: Effective Visualizations to Debug Robotic Behaviors. Search on Bibsonomy IRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Andrew Quinn 0001, Jason Flinn, Michael J. Cafarella You can't debug what you can't see: Expanding observability with the OmniTable. Search on Bibsonomy HotOS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Neetu Jindal, Sandeep Chandran, Preeti Ranjan Panda, Sanjiva Prasad, Abhay Mitra, Kunal Singhal, Shubham Gupta, Shikhar Tuli DHOOM: Reusing Design-for-Debug Hardware for Online Monitoring. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Jinsoo Jang, Brent ByungHoon Kang Revisiting the ARM Debug Facility for OS Kernel Security. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Marco Cavallo, Angus G. Forbes CAVE-AR: A VR Authoring System to Interactively Design, Simulate, and Debug Multi-user AR Experiences. Search on Bibsonomy VR The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Barry John Muldrey Algorithms for Post-silicon Validation and Debug of Radio-frequency, analog, and mixed-signal Circuits and Systems. Search on Bibsonomy 2019   RDF
16Yuting Cao A Communication-Centric Framework for Post-Silicon System-on-chip Integration Debug. Search on Bibsonomy 2019   RDF
16Joshua S. Monson, Brad L. Hutchings Enhancing debug observability for HLS-based FPGA circuits through source-to-source compilation. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yun Cheng, Huawei Li 0001, Ying Wang 0001, Haihua Shen, Bo Liu 0018, Xiaowei Li 0001 On Trace Buffer Reuse-Based Trigger Generation in Post-Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Fatemeh Eslami, Steven J. E. Wilton Rapid Triggering Capability Using an Adaptive Overlay during FPGA Debug. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapôtre, Guy Gogniat A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Bijan Alizadeh, Mehdi Shakeri QBF-Based Post-Silicon Debug of Speed-Paths Under Timing Variations. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Jingxuan He, Pesho Ivanov, Petar Tsankov, Veselin Raychev, Martin T. Vechev Debin: Predicting Debug Information in Stripped Binaries. Search on Bibsonomy CCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1206 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license