The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-2001 (18) 2002-2004 (27) 2005 (27) 2006 (28) 2007 (28) 2008 (30) 2009-2010 (20) 2011-2016 (19) 2017-2021 (16) 2022-2024 (7)
Publication types (Num. hits)
article(46) incollection(1) inproceedings(170) phdthesis(2) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 229 occurrences of 108 keywords

Results
Found 220 publication records. Showing 220 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Thomas W. Williams Design for Testability: The Path to Deep Submicron. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Sanjiv Taneja DFT Aware Layout - Layout Aware DFT. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Kohei Miyase, Kenta Terashima, Seiji Kajihara, Xiaoqing Wen, Sudhakar M. Reddy On Improving Defect Coverage of Stuck-at Fault Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Mehrdad Reshadi, Daniel Gajski A cycle-accurate compilation algorithm for custom pipelined datapaths. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NISC, cycle-accurate compiler, scheduling
14Jay Jahangiri, David Abercrombie Meeting Nanometer DPM Requirements Through DFT. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Azzouz Nezar, Michael Creighton System on Chip: Challenges and Design for Manufacturing, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Amit K. Agrawal, Rama Chellappa Robust ego-motion estimation and 3d model refinement using depth based parallax model. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Mark A. Lavin, Fook-Luen Heng, Gregory A. Northrop Backend CAD flows for "restrictive design rules". Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Yu-Tsao Hsing, Chih-Wea Wang, Ching-Wei Wu, Chih-Tsun Huang, Cheng-Wen Wu Failure Factor Based Yield Enhancement for SRAM Designs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14John Ferguson Shifting Methods: Adopting a Design for Manufacture Flow. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Meng-Fan Chang, Kuei-Ann Wen, Ding-Ming Kwai Supply and Substrate Noise Tolerance Using Dynamic Tracking Clusters in Configurable Memory Designs. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Jitendra Khare Memory Yield Improvement - SoC Design Perspective. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Robert Madge New Test Paradigms for Yield and Manufacturability. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Hans T. Heineken, Jitendra Khare Test Strategies For a 40Gbps Framer SoC. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Martin Schrader, Roderick McConnell SoC Design and Test Considerations. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Jason Stinson, Stefan Rusu A 1.5GHz third generation itanium® 2 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-die cache, reliability, test, design methodology, processor
14Andreas Lechner, Andrew Richardson 0001, B. Hermes Short Circuit Faults in State-of-the-Art ADCs - Are They Hard or Soft? Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Neil Harrison A Simple via Duplication Tool for Yield Enhancement. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Wojciech Maly, Hans T. Heineken, Jitendra Khare, Pranab K. Nag Design for manufacturability in submicron domain. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF IC technologies, die size minimization, integrated circuit technology, submicron domain, yield, cost model, design for manufacturability, trade-offs, design rules
14Mathew Alexander, K. Sríhari, C. Robert Emerson Cost based surface mount PCB design evaluation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF computer aided process planning, knowledge based framework, Computer aided design, design for manufacture, concurrent engineering
Displaying result #201 - #220 of 220 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license