The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFT"( http://dblp.L3S.de/Venues/DFT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
1993 (44) 1994 (34) 1995 (35) 1996 (40) 1997 (37) 1998 (42) 1999 (46) 2000 (45) 2001 (56) 2002 (46) 2003 (72) 2004 (57) 2005 (67) 2006 (64) 2007 (57) 2008 (64) 2009 (57) 2010 (55) 2011 (57) 2012 (42) 2014 (51) 2016 (32) 2017 (40) 2018 (24) 2019 (34) 2020 (36) 2021 (37) 2022 (31) 2023 (47)
Publication types (Num. hits)
inproceedings(1320) proceedings(29)
Venues (Conferences, Journals, ...)
DFT(1349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 272 keywords

Results
Found 1349 publication records. Showing 1349 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2018, Chicago, IL, USA, October 8-10, 2018 Search on Bibsonomy DFT The full citation details ... 2018 DBLP  BibTeX  RDF
1Ludovica Bozzoli, Luca Sterpone MATS**: An On-Line Testing Approach for Reconfigurable Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Markus Schütz, Andreas Steininger, Florian Huemer, Jakob Lechner State Recovery for Coarse-Grain TMR Designs in FPGAs Using Partial Reconfiguration. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhen Gao 0001, Lina Yan, Jinhua Zhu, Ruishi Han, Pedro Reviriego Analysis of the Effects of Single Event Upsets (SEUs) on User Memory in FPGA Implemented Viterbi Decoders. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alexandre Coelho, Amir Charif, Nacer-Eddine Zergainoh, Raoul Velazco A Runtime Fault-Tolerant Routing Scheme for Partially Connected 3D Networks-on-Chip. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pavan Kumar Javvaji, Spyros Tragoudas A Method to Model Statistical Path Delays for Accurate Defect Coverage. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrea Floridia, Ernesto Sánchez 0001 Hybrid On-Line Self-Test Strategy for Dual-Core Lockstep Processors. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Danilo Pellegrini, Marco Ottavi, Eugenio Martinelli, Corrado Di Natale Complementary Resistive Switch Sensing. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Postprocessing Procedure for Reducing the Faulty Switching Activity of a Low-Power Test Set. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Frank Sill Torres, Pedro Fausto Rodrigues Leite, Rolf Drechsler Unintrusive aging analysis based on offline learning. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Taniya Siddiqua, Vilas Sridharan, Steven E. Raasch, Nathan DeBardeleben, Kurt B. Ferreira, Scott Levy, Elisabeth Baseman, Qiang Guan Lifetime memory reliability data from the field. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pai-Shun Ting, John P. Hayes Eliminating a hidden error source in stochastic circuits. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1R. Cantora, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero, Emanuele Valea On the optimization of SBST test program compaction. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alexander Schneider 0002, Paul Pop, Jan Madsen Volume management for fault-tolerant continuous-flow microfluidics. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marco Restifo, Paolo Bernardi, Sergio de Luca, Alessandro Sansonetti On-line software-based self-test for ECC of embedded RAM memories. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Harshad Dhotre, Stephan Eggersglüß, Mehdi Dehbashi, Ulrike Pfannkuchen, Rolf Drechsler Machine learning based test pattern analysis for localizing critical power activity areas. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mert Atamaner, Oguz Ergin, Marco Ottavi, Pedro Reviriego Detecting errors in instructions with bloom filters. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Satyadev Ahlawat, Darshit Vaghani, Virendra Singh Preventing scan-based side-channel attacks through key masking. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vasileios Tenentes, Charles Leech, Graeme M. Bragg, Geoff V. Merrett, Bashir M. Al-Hashimi, Hussam Amrouch, Jörg Henkel, Shidhartha Das Hardware and software innovations in energy-efficient system-reliability monitoring. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kedar Janardan Dhori, Hitesh Chawla, Ashish Kumar, Prashant Pandey, Promod Kumar, Lorenzo Ciampolini, Florian Cacho, Damien Croain High-yield design of high-density SRAM for low-voltage and low-leakage operations. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michiya Kanda, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu A defective level monitor of open defects in 3D ICs with a comparator of offset cancellation type. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jyothish Soman, Timothy M. Jones 0001 High performance fault tolerance through predictive instruction re-execution. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jain-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Design-for-testability for paper-based digital microfluidic biochips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mihalis Psarakis, Aitzan Sari A scrubbing scheduling approach for reliable FPGA multicore processors with real-time constraints. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rishad A. Shafik, Qiaoyan Yu, S. Saqib Khursheed, Antonio Miele Welcome Message. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lucas Weigel, Fernando Fernandes 0001, Philippe O. A. Navaux, Paolo Rech Kernel vulnerability factor and efficient hardening for histogram of oriented gradients. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sebastian Huhn 0001, Stephan Eggersglüß, Rolf Drechsler Reconfigurable TAP controllers with embedded compression for large test data volume. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bing Li 0005, Ulf Schlichtmann Reliability-aware synthesis and fault test of fully programmable valve arrays (FPVAs). Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1H. Junqi, T. Nandha Kumar, Haider Abbas, Fabrizio Lombardi Simulation-based evaluation of frequency upscaled operation of exact/approximate ripple carry adders. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu-Wei Lee, Nur A. Touba Improving test compression with multiple-polynomial LFSRs. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2017, Cambridge, United Kingdom, October 23-25, 2017 Search on Bibsonomy DFT The full citation details ... 2017 DBLP  BibTeX  RDF
1Haider Alrudainy, Rishad A. Shafik, Andrey Mokhov, Alex Yakovlev Lifetime reliability characterization of N/MEMS used in power gating of digital integrated circuits. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shoba Gopalakrishnan, Virendra Singh REMORA: A hybrid low-cost soft-error reliable fault tolerant architecture. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chiara Sandionigi, Maurício Altieri, Olivier Héron Early estimation of aging in the design flow of integrated circuits through a programmable hardware module. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mauricio D. Gutierrez, Vasileios Tenentes, Tom J. Kazmierski, Daniele Rossi 0001 Low cost error monitoring for improved maintainability of IoT applications. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu Xie, Chen Yang 0003, Chuang-An Mao, He Chen, Yizhuang Xie A novel low-overhead fault tolerant parallel-pipelined FFT design. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xin Fan 0002, Jan Stuijt, Tobias Gemmeke Towards SRAM leakage power minimization by aggressive standby voltage scaling - Experiments on 40nm test chips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Prashant D. Joshi, Arunabha Sen, D. Frank Hsu, Said Hamdioui, Koen Bertels Region based containers - A new paradigm for the analysis of fault tolerant networks. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alessandro Baldassari, Cristiana Bolchini, Antonio Miele A dynamic reliability management framework for heterogeneous multicore systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nguyen T. H. Nguyen, Ediz Cetin, Oliver Diessel Scheduling voter checks to detect configuration memory errors in FPGA-based TMR systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Parham Purbakht, Peter Le, Israel Koren, Zahava Koren Exploring soft errors (SEUs) with digital imager pixels ranging from 7 to 1.3 μm. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gokulkrishnan Vadakkeveedu, V. Kamakoti 0001, Nitin Chandrachoodan, Seetal Potluri A scalable pseudo-exhaustive search for fault diagnosis in microfluidic biochips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lake Bu, Hien D. Nguyen, Michel A. Kinsy RASSS: A perfidy-aware protocol for designing trustworthy distributed systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tiago A. O. Alves, Sandip Kundu, Leandro A. J. Marzulo, Felipe M. G. França A resilient scheduler for dataflow execution. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amir Mahdi Hosseini Monazzah, Hamed Farbeh, Seyed Ghassem Miremadi Investigating the effects of process variations and system workloads on endurance of non-volatile caches. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Toshinori Hosokawa, Atsushi Hirai, Hiroshi Yamazaki, Masayuki Arai A dynamic test compaction method on low power test generation based on capture safe test vectors. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andrea Fedi, Marco Ottavi, Gianluca Furano, Antimo Bruno, Roberto Senesi, Carla Andreani, Carlo Cazzaniga High-energy neutrons characterization of a safety critical computing system. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Leandro Santiago 0001, Vinay C. Patil, Charles B. Prado, Tiago A. O. Alves, Leandro A. J. Marzulo, Felipe M. G. França, Sandip Kundu Realizing strong PUF from weak PUF via neural computing. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sina Boroumand, Hadi Parandeh-Afshar, Philip Brisk, Siamak Mohammadi CAL: Exploring cost, accuracy, and latency in approximate and speculative adder design. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ke Chen 0018, Fabrizio Lombardi, Jie Han 0001 Design and analysis of an approximate 2D convolver. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anirudh Iyengar, Swaroop Ghosh, Nitin Rathi, Helia Naeimi Side channel attacks on STTRAM and low-overhead countermeasures. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiaolin Xu, Daniel E. Holcomb Reliable PUF design using failure patterns from time-controlled power gating. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Abdulaziz Eker, Oguz Ergin Error recovery through partial value similarity. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Rahul Thomas, Rohan Thomas, Israel Koren, Zahava Koren Experimental study and analysis of soft and permanent errors in digital cameras. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mojing Liu, Brett H. Meyer Bounding error detection latency in safety critical systems with enhanced Execution Fingerprinting. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gianluca Furano, Stefano Di Mascio, Tomasz Szewczyk, Alessandra Menicucci, Luigi Campajola, Francesco Di Capua, Andrea Fabbri, Marco Ottavi A novel method for SEE validation of complex SoCs using Low-Energy Proton beams. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Adam Watkins, Spyros Tragoudas A Highly Robust Double Node Upset Tolerant latch. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ahmed Ibrahim 0001, Hans G. Kerkhoff Efficient utilization of hierarchical iJTAG networks for interrupts management. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Vinay C. Patil, Arunkumar Vijayakumar, Sandip Kundu On meta-obfuscation of physical layouts to conceal design characteristics. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Filippo Giuliani, Marco Ottavi, Gian Carlo Cardarilli, Marco Re, Luca Di Nunzio, Rocco Fazzolari, Antimo Bruno, Francesco Zuliani Design and characterization of a high-safety hardware/software module for the acquisition of Eurobalise telegrams. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Luca Santinelli, Zhishan Guo, Laurent George 0001 Fault-aware sensitivity analysis for probabilistic real-time systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ronak Salamat, Masoumeh Ebrahimi, Nader Bagherzadeh, Freek Verbeek CoBRA: Low cost compensation of TSV failures in 3D-NoC. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hassan Ebrahimi, Alireza Rohani, Hans G. Kerkhoff Detecting intermittent resistive faults in digital CMOS circuits. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zaid Al-bayati, Brett H. Meyer, Haibo Zeng 0001 Fault-tolerant scheduling of multicore mixed-criticality systems under permanent failures. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alexander Schöll, Claus Braun, Hans-Joachim Wunderlich Applying efficient fault tolerance to enable the preconditioned conjugate gradient solver on approximate computing hardware. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chao Chen, Jacopo Panerati, Giovanni Beltrame Effects of online fault detection mechanisms on Probabilistic Timing Analysis. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Juexiao Su, Ju-Yueh Lee, Chang Wu, Lei He In-place LUT polarity inVersion to mitigate soft errors for FPGAs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiaotong Cui, Kaijie Wu 0001, Siddharth Garg, Ramesh Karri Can flexible, domain specific programmable logic prevent IP theft? Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Juman Alshraiedeh, Avinash Kodi An adaptive routing algorithm to improve lifetime reliability in NoCs architecture. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar 0001 Cross-layer fault-tolerant design of real-time systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hananeh Aliee, Stefan Vitzethum, Michael Glaß, Jürgen Teich, Emanuele Borgonovo Guiding Genetic Algorithms using importance measures for reliable design of embedded systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amir Charif, Nacer-Eddine Zergainoh, Michael Nicolaidis A new approach to deadlock-free fully adaptive routing for high-performance fault-tolerant NoCs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Naghmeh Karimi, Ke Huang 0001 Prognosis of NBTI aging using a machine learning scheme. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hardeep Chahal, Vasileios Tenentes, Daniele Rossi 0001, Bashir M. Al-Hashimi BTI aware thermal management for reliable DVFS designs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Matteo Carminati, Tulika Mitra, Thannirmalai Somu Muthukaruppan Combined on-line lifetime-energy optimization for asymmetric multicores. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Marcos T. Leipnitz, Eduardo Nunes de Souza, Gabriel L. Nazar Low cost resilient regular expression matching on FPGAs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Omer Khan, Maria K. Michael, Antonio Miele, Qiaoyan Yu Foreword. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hiroki Ueno, Kazuteru Namba Construction of a soft error (SEU) hardened Latch with high critical charge. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xabier Iturbe, Balaji Venu, Emre Ozer 0001 Soft error vulnerability assessment of the real-time safety-related ARM Cortex-R5 CPU. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2016, Storrs, CT, USA, September 19-20, 2016 Search on Bibsonomy DFT The full citation details ... 2016 DBLP  BibTeX  RDF
1Riccardo Cantoro, Davide Piumatti, Paolo Bernardi, Sergio de Luca, Alessandro Sansonetti In-field functional test programs development flow for embedded FPUs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ashkan Eghbal, Pooria M. Yaghini, Siavash S. Yazdi, Nader Bagherzadeh TSV-to-TSV inductive coupling-aware coding scheme for 3D Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stavros Tzilis, Ioannis Sourdis A runtime manager for gracefully degrading SoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Swapnil Bahl, Shreyans Rungta, Shray Khullar, Rohit Kapur, Anshuman Chandra, Salvatore Talluto 0001, Pramod Notiyath, Ajay Rajagopalan Unifying scan compression. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jimson Mathew, Marco Ottavi, Yunfan Yang, Dhiraj K. Pradhan Using memristor state change behavior to identify faults in photovoltaic arrays. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Luca Cassano Machine learning-based techniques for incremental functional diagnosis: A comparative analysis. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mihalis Psarakis, Alexandros Vavousis, Cristiana Bolchini, Antonio Miele Design and implementation of a self-healing processor on SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jerry Backer, David Hély, Ramesh Karri Reusing the IEEE 1500 design for test infrastructure for security monitoring of Systems-on-Chip. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thiago Berticelli Lo, Fernanda Lima Kastensmidt, Antonio Carlos Schneider Beck Towards an adaptable bit-width NMR voter for multiple error masking. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mario Schölzel, Tobias Koal, Heinrich Theodor Vierhaus Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tsuyoshi Iwagaki, Tatsuya Nakaso, Ryoko Ohkubo, Hideyuki Ichihara, Tomoo Inoue Scheduling algorithm in datapath synthesis for long duration transient fault tolerance. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1In-Seok Jung, Yong-Bin Kim A 12-bit 32MS/s SAR ADC using built-in self calibration technique to minimize capacitor mismatch. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Domenico G. Sorrenti, Dario Cozzi, Sebastian Korf, Luca Cassano, Jens Hagemeyer, Mario Porrmann, Cinzia Bernardeschi Exploiting dynamic partial reconfiguration for on-line on-demand testing of permanent faults in reconfigurable systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lucas A. Tambara, Fernanda Lima Kastensmidt, Paolo Rech, Christopher Frost 0002 Decreasing FIT with diverse triple modular redundancy in SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stefano Di Carlo, Paolo Prinetto, Daniele Rolfo, Pascal Trotta A fault injection methodology and infrastructure for fast single event upsets emulation on Xilinx SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cristian Constantinescu, Srini Krishnamoorthy, Tuyen Nguyen Estimating the effect of single-event upsets on microprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wisam Aljubouri, Ahish Mysore Somashekar, Themistoklis Haniotakis, Spyros Tragoudas Diagnosis of segment delay defects with current sensing. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael A. Skitsas, Chrysostomos Nicopoulos, Maria K. Michael Exploration of system availability during software-based self-testing in many-core systems under test latency constraints. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Victor Tomashevich, Yaara Neumeier, Raghavan Kumar, Osnat Keren, Ilia Polian Protecting cryptographic hardware against malicious attacks by nonlinear robust codes. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jahanzeb Anwer, Marco Platzner Analytic reliability evaluation for fault-tolerant circuit structures on FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1349 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license