The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-2001 (18) 2002 (18) 2003 (29) 2004 (53) 2005 (67) 2006 (72) 2007 (81) 2008 (59) 2009 (41) 2010 (17) 2011 (15) 2012 (19) 2013-2014 (24) 2015-2016 (16) 2017-2019 (18) 2020-2021 (24) 2022-2023 (25) 2024 (2)
Publication types (Num. hits)
article(143) incollection(2) inproceedings(451) phdthesis(1) proceedings(1)
Venues (Conferences, Journals, ...)
ISLPED(33) DATE(25) DAC(18) CoRR(16) ICCAD(14) RTSS(14) ASP-DAC(13) ISCAS(13) ACM Trans. Embed. Comput. Syst...(10) IEEE J. Solid State Circuits(10) IEEE Trans. Comput. Aided Des....(10) EMSOFT(9) IPDPS(9) CASES(8) EUC(8) ICESS(8) More (+10 of total 227)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 549 occurrences of 219 keywords

Results
Found 598 publication records. Showing 598 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Kyong Hoon Kim, Jong Kim 0001 An Adaptive DVS Checkpointing Scheme for Fixed-Priority Tasks with Reliability Constraints in Dependable Real-Time Embedded Systems. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jung-Hi Min, Hojung Cha Reducing display power in DVS-enabled handheld systems. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic voltage scaling, energy management, dynamic power management, LCD
29Yuan Tian 0020, Boangoat Jarupan, Eylem Ekici, Füsun Özgüner Real-time task mapping and scheduling for collaborative in-network processing in DVS-enabled wireless sensor networks. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Huizhan Yi, Juan Chen 0001, Xunjun Yang Compiler-Directed Energy-Time Tradeoff in MPI Programs on DVS-Enabled Parallel Systems. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin DVS for On-Chip Bus Designs Based on Timing Error Correction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jianli Zhuo, Chaitali Chakrabarti An efficient dynamic task scheduling algorithm for battery powered DVS systems. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Bren Mochocki, Xiaobo Sharon Hu, Gang Quan Practical On-line DVS Scheduling for Fixed-Priority Real-Time Systems. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jian-Jia Chen, Chuan-Yue Yang, Hsueh-I Lu, Tei-Wei Kuo Approximation Algorithms for Multiprocessor Energy-Efficient Scheduling of Periodic Real-Time Tasks with Uncertain Task Execution Time. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Expected Energy Consumption Minimization, Probability, Dynamic Voltage Scaling (DVS), Multiprocessor Scheduling, Energy-Efficient Scheduling
29Po-Chun Chang, I-Wei Wu, Jean Jyh-Jiun Shann, Chung-Ping Chung ETAHM: an energy-aware task allocation algorithm for heterogeneous multiprocessor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DVS multiprocessor system, task scheduling
29Wann-Yun Shieh, Hsin-Dar Chen Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer
29Minyoung Kim, Soonhoi Ha Hybrid Run-time Power Management Technique for Real-time Embedded System with Voltage Scalable Processor. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF DPM(Dynamic Power Management), DVS(Dynamic Voltage Scaling), run-timepower management technique, real-time embedded system
20Kevin S. Beyer, Rainer Gemulla, Peter J. Haas, Berthold Reinwald, Yannis Sismanis Distinct-value synopses for multiset operations. Search on Bibsonomy Commun. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Yu-Wei Yang, Katherine Shu-Min Li Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Da-Ren Chen, Chiun-Chieh Hsu, Ming-Fong Lai Time-efficient power-aware scheduling for periodic real-time tasks. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Alireza Ejlali, Bashir M. Al-Hashimi, Petru Eles A standby-sparing technique with low energy-overhead for fault-tolerant hard real-time systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, energy minimization, hard real-time systems
20Chuan-Yue Yang, Jian-Jia Chen, Tei-Wei Kuo Energy-efficiency for multiframe real-time tasks on a dynamic voltage scaling processor. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiframe real-time tasks, dynamic voltage scaling, energy-aware systems, energy-efficient scheduling
20Euiseong Seo, Jinkyu Jeong, Seon-Yeong Park, Joonwon Lee Energy Efficient Scheduling of Real-Time Tasks on Multicore Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20XiaoChuan He, Yan Jia 0001 Procrastination Scheduling for Fixed-Priority Tasks with Preemption Thresholds. Search on Bibsonomy NPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Sungpack Hong, Sungjoo Yoo, Byeong Bin, Kyu-Myung Choi, Soo-Kwan Eo, Taehwan Kim 0007 Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software Runtime Distribution. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Juan Chen 0001, Yong Dong, Xuejun Yang, Panfeng Wang Energy-Constrained OpenMP Static Loop Scheduling. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Da-Ren Chen, Shu-Ming Hsieh, Ming-Fong Lai Efficient algorithms for periodic real-time tasks to optimal discrete voltage schedules. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Baoxian Zhao, Hakan Aydin, Dakai Zhu 0001 Reliability-aware Dynamic Voltage Scaling for energy-constrained real-time embedded systems. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Nimay Shah, Rupak Samanta, Ming Zhang, Jiang Hu, Duncan Walker Built-In Proactive Tuning System for Circuit Aging Resilience. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Vassos Soteriou, Noel Eisley, Li-Shiuan Peh Software-directed power-aware interconnection networks. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software-directed power reduction, simulation, interconnection networks, dynamic voltage scaling, on-chip networks, communication links
20Xiliang Zhong, Cheng-Zhong Xu 0001 Frequency-aware energy optimization for real-time periodic and aperiodic tasks. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time systems, dynamic voltage scaling, dynamic power management, power-aware scheduling
20Dinesh Rajan, Christian Poellabauer, Andrew Blanford, Bren Mochocki Cooperative Dynamic Voltage Scaling using Selective Slack Distribution in Distributed Real-Time Systems. Search on Bibsonomy MobiQuitous The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Jian-Jia Chen, Tei-Wei Kuo, Chia-Lin Yang, Ku-Jei King Energy-efficient real-time task scheduling with task rejection. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF task rejection, energy-efficient scheduling, real-time task scheduling
20Yang Qu, Juha-Pekka Soininen, Jari Nurmi Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Lei Miao 0002, Yong Qi, Di Hou, Chang-li Wu, Yue-hua Dai Dynamic Power Management and Dynamic Voltage Scaling in Real-time CMP Systems. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kevin S. Beyer, Peter J. Haas, Berthold Reinwald, Yannis Sismanis, Rainer Gemulla On synopses for distinct-value estimation under multiset operations. Search on Bibsonomy SIGMOD Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distinct-value estimation, synopsis warehouse
20Jian-Jia Chen, Tei-Wei Kuo Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF job procrastination, leakage-aware scheduling, scheduling, dynamic voltage scaling, energy-aware systems
20Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang Power-Directed Software Prefetching Algorithm with Dynamic Voltage Scaling. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Behnam Amelifard, Massoud Pedram Design of an efficient power delivery network in an soc to enable dynamic power management. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power delivery network, voltage regulator, low-power design, DC-DC converter
20Xiaojun Ruan, Xiao Qin 0001, Ziliang Zong, Kiranmai Bellam, Mais Nijim An Energy-Efficient Scheduling Algorithm Using Dynamic Voltage Scaling for Parallel Applications on Clusters. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Richard B. Wunderlich, Brian P. Degnan, Paul E. Hasler Capacitively-Biased Floating-Gate CMOS: a New Logic Family. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kuan-Wei Cheng, Tzong-Yen Lin, Rong-Guey Chang Compiler Support for Dynamic Pipeline Scaling. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ravindra Jejurikar, Rajesh K. Gupta 0001 Energy-aware task scheduling with task synchronization for embedded real-time systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Nevine AbouGhazaleh, Daniel Mossé, Bruce R. Childers, Rami G. Melhem Collaborative operating system and compiler power management for real-time applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF collaborative OS and compiler, voltage scaling points placement, Real-time, power-management, dynamic voltage scaling
20Po-Kuan Huang, Soheil Ghiasi Power-aware compilation for embedded processors with dynamic voltage scaling and adaptive body biasing capabilities. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Andrea Alimonda, Andrea Acquaviva, Salvatore Carta, Alessandro Pisano A control theoretic approach to run-time energy optimization of pipelined processing in MPSoCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Noohul Basheer Zain Ali, Mark Zwolinski, Bashir M. Al-Hashimi, Peter Harrod Dynamic Voltage Scaling Aware Delay Fault Testing. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ying Tan, Parth Malani, Qinru Qiu, Qing Wu 0002 Workload prediction and dynamic voltage scaling for MPEG decoding. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, Giovanni De Micheli Mapping and configuration methods for multi-use-case networks on chips. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF guaranteed throughput, multiple application platforms, systems on chips, networks on chips, reconfiguration, dynamic, use-cases, voltage scaling, frequency scaling, best effort
20Venkat Rao, Nicolas Navet, Gaurav Singhal, Anshul Kumar, G. S. Visweswaran Battery aware dynamic scheduling for periodic task graphs. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Konrad Malkowski, Ingyu Lee, Padma Raghavan, Mary Jane Irwin Conjugate gradient sparse solvers: performance-power characteristics. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Soong Hyun Shin, Sung Woo Chung, Chu Shik Jhon On the Reliability of Drowsy Instruction Caches. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Wenhong Zhao, Feng Xia 0001 An Efficient Approach to Energy Saving in Microcontrollers. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF workload variability, dynamic voltage scaling, Energy saving, microcontroller, control performance
20Sungpack Hong, Sungjoo Yoo, HoonSang Jin, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo Runtime distribution-aware dynamic voltage scaling. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hakan Aydin, Vinay Devadas, Dakai Zhu 0001 System-Level Energy Management for Periodic Real-Time Tasks. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Bu Aiguo, Longxing Shi, Hu Chen, Li Jie, Chao Wang 0068 Energy-optimal dynamic voltage scaling for sporadic tasks. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Marcial Jesús Rodríguez-Irago, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Jorge Semião, Isabel C. Teixeira, João Paulo Teixeira 0001 Dynamic Fault Detection in Digital Systems Using Dynamic Voltage Scaling and Multi-Temperature Schemes. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Yanmei Chai, Jinchang Ren, Rongchun Zhao, Jingping Jia Automatic Gait Recognition using Dynamic Variance Features. Search on Bibsonomy FGR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Euiseong Seo, Yongbon Koo, Joonwon Lee Dynamic Repartitioning of Real-Time Schedule on a Multicore Processor for Energy Efficiency. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Changjiu Xian, Yung-Hsiang Lu Dynamic voltage scaling for multitasking real-time systems with uncertain execution time. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF probability, dynamic voltage scaling, multitasking, low energy, hard real-time
20Xinyi Huang 0001, Willy Susilo, Yi Mu 0001, Wei Wu 0001 Universal Designated Verifier Signature Without Delegatability. Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Universal Designated Verifier Signatures, Non-delegatability, Bilinear Pairings, Designated Verifier Signatures
20Geuntae Bae, Daewon Kim, Daeyeon Park Low-Power Multimedia Scheduling Using Output Pre-buffering. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Selim Gurun, Chandra Krintz AutoDVS: an automatic, general-purpose, dynamic clock scheduling system for hand-held devices. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prediction, power consumption, voltage scaling, resource-constrained devices
20David Fitrio, Jugdutt Singh, Aleksandar Stojcevski Dynamic Voltage Scaling for Power Aware Fast Fourier Transform (FFT) Processor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sibin Mohan, Frank Mueller 0001, William Hawkins, Michael Root, Christopher A. Healy, David B. Whalley ParaScale: Exploiting Parametric Timing Analysis for Real-Time Schedulers and Dynamic Voltage Scaling. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Vassos Soteriou, Noel Eisley, Li-Shiuan Peh Software-directed power-aware interconnection networks. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic voltage, networks on-a-chip (NoC), software-directed power reduction, simulation, interconnection networks, scaling, communication links
20Yeong Rak Seong, Min-Sik Gong, Ha Ryoung Oh, Cheol-Hoon Lee Dynamic Voltage Scaling for Real-Time Scheduling of Multimedia Tasks. Search on Bibsonomy PCM (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Dennis M. Moen, J. Mark Pullen Modeling Real-Time Distributed Simulation Message Flow in an Open Network. Search on Bibsonomy DS-RT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Diana Marculescu, Emil Talpes Variability and energy awareness: a microarchitecture-level perspective. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF GALS design, power consumption, variability
20Yan Zhang 0028, Zhijian Lu, John C. Lach, Kevin Skadron, Mircea R. Stan Optimal procrastinating voltage scheduling for hard real-time systems. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power management, dynamic voltage scaling, real-time scheduling, optimization algorithm
20Chaeseok Im, Soonhoi Ha, Huiseok Kim Dynamic voltage scheduling with buffers in low-power multimedia applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Buffer requirement estimation, dynamic voltage scheduling, multimedia applications, low-power systems
20Patricia Guitton-Ouhamou, Hanene Ben Fradj, Cécile Belleudy, Michel Auguin Low Power Co-design Tool and Power Optimization of Schedules and Memory System. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Tarek A. AlEnawy, Hakan Aydin On Energy-Constrained Real-Time Scheduling. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Masaaki Kondo, Hiroshi Nakamura Dynamic Processor Throttling for Power Efficient Computations. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Shuo Kang, Huayong Wang, Yu Chen 0004, Xiaoge Wang, Yiqi Dai Skyeye: An Instruction Simulator with Energy Awareness. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Diana Marculescu Application adaptive energy efficient clustered architectures. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic voltage scaling, clustered architectures
20Chuang Zhang, Dongsheng Ma, Ashok Kumar Srivastava Integrated adaptive DC/DC conversion with adaptive pulse-train technique for low-ripple fast-response regulation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DC/DC conversion, adaptive output, adaptive pulse-train technique, low ripple, transient response
20Hakan Aydin, Qi Yang Energy - Responsiveness Tradeoffs for Real-Time Systems with Mixed Workload. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Fabien Laguillaumie, Damien Vergnaud Designated Verifier Signatures: Anonymity and Efficient Construction from Any Bilinear Map. Search on Bibsonomy SCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Privacy of signers identity, Bilinear Diffie-Hellman problems, Exact security, Designated verifier signatures, Tight reduction
20Curt Schurgers, Vijay Raghunathan, Mani B. Srivastava Power management for energy-aware communication systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adaptive, Energy-efficient, wireless communications, scaling
20Jacob R. Lorch, Alan Jay Smith Using User Interface Event Information in Dynamic Voltage Scaling Algorithms. Search on Bibsonomy MASCOTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Workload characterization and generation, power management, dynamic voltage scaling, response time, modeling and simulation, energy management
20Li Shang, Li-Shiuan Peh, Niraj K. Jha Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Ying Zhang 0041, Krishnendu Chakrabarty, Vishnu Swaminathan Energy-Aware Fault Tolerance in Fixed-Priority Real-Time Embedded Systems. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Nevine AbouGhazaleh, Daniel Mossé, Bruce R. Childers, Rami G. Melhem, Matthew Craven Collaborative Operating System and Compiler Power Management for Real-Time Applications. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Ajay Dudani, Frank Mueller 0001, Yifan Zhu Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling
20Ravindra Jejurikar, Rajesh K. Gupta 0001 Energy aware task scheduling with task synchronization for embedded real time systems. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF frequency/voltage scaling, task synchronization, real-time, power aware scheduling, priority ceiling protocol
20Vishnu Swaminathan, Charles B. Schweizer, Krishnendu Chakrabarty, Amil A. Patel Experiences in Implementing an Energy-Driven Task Scheduler in RT-Linux. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low energy earliest-deadline-first (LEDF) scheduling, RT-Linux, variable-speed task scheduling, dynamic voltage scaling, Deadlines
20Ruchira Sasanka, Christopher J. Hughes, Sarita V. Adve Joint local and global hardware adaptations for energy. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Trevor Pering, Thomas D. Burd, Robert W. Brodersen Voltage scheduling in the IpARM microprocessor system. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, energy-efficient, operating systems, RTOS
20Trevor Pering, Thomas D. Burd, Robert W. Brodersen The simulation and evaluation of dynamic voltage scaling algorithms. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Weixun Wang, Xiaoke Qin, Prabhat Mishra 0001 Temperature- and energy-constrained scheduling in multitasking systems: a model checking approach. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dvs, temperature-aware, model checking, low power design
20Tianzhou Chen, Jiangwei Huang, Lingxiang Xiang, Qingsong Shi Dynamic power management framework for multi-core portable embedded system. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded system, power management, DVS, multi-core
20Arun Rangasamy, Rahul Nagpal, Y. N. Srikant Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dvs, dynamic energy, energy, multiple clock domains
20Jung-Hi Min, Hojung Cha, Jongho Nang Energy management for interactive applications in mobile handheld systems. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WNIC, DVS, energy management, DPM
20David C. Snowdon, Stefan M. Petters, Gernot Heiser Accurate on-line prediction of processor and memoryenergy usage under voltage scaling. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF PMC, power, energy, DVS, DVFS, performance counter
20Claudio Scordino, Giuseppe Lipari A Resource Reservation Algorithm for Power-Aware Scheduling of Periodic and Aperiodic Real-Time Tasks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, real-time, DVS, resource-reservation, power-aware
20Yicheng Huang, Samarjit Chakraborty, Ye Wang 0007 Using offline bitstream analysis for power-aware video decoding in portable devices. Search on Bibsonomy ACM Multimedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF bitstream analysis, metadata, DVS, video decoding
20Claudio Scordino, Giuseppe Lipari Using resource reservation techniques for power-aware scheduling. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, real-time, DVS, resource-reservation, power-aware
20Jaewon Seo, Taewhan Kim, Ki-Seok Chung Profile-based optimal intra-task voltage scheduling for hard real-time applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF intra-task voltage scheduling, DVS, low energy design
20Aviad Cohen 0001, Lev Finkelstein, Avi Mendelson, Ronny Ronen, Dmitry Rudoy On Estimating Optimal Performance of CPU Dynamic Thermal Management. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DVS, optimal control, DTM
19Yifei Zheng, Boyu Li, Qianheng Dong, Yutao Ying, Deyuan Song, Jing Zhu 0006, Weifeng Sun, Qinsong Qian, Long Zhang, Sheng Li, Denggui Wang, Jianjun Zhou A 200-V Half-Bridge Monolithic GaN Power IC With High-Speed Level Shifter and dVS/dt Noise Immunity Enhancement Structure. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Junyi Ruan, Junmin Jiang, Chenzhou Ding, Kai Yuan, Ka Nang Leung, Xun Liu A Li-ion-Battery-Input 1-to-6V-Output Bootstrap-Free Hybrid Buck-or-Boost Converter Without RHP Zero Achieving 97.3% Peak Efficiency 6μs Recovery Time and 1.13μs/V DVS Rate. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Lei Kang, Xu Yang 0017, Chi Zhang, Shuangming Yu, Runjiang Dou, Wenchang Li, Cong Shi 0003, Jian Liu 0021, Nanjian Wu, Liyuan Liu A 24.3 μJ/Image SNN Accelerator for DVS-Gesture With WS-LOS Dataflow and Sparse Methods. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Xiangyu Mao, Yan Lu 0002, Rui Paulo Martins A 1-A Switching LDO With 40-mV Dropout Voltage and Fast DVS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Antonio Rios-Navarro, S. Guo, G. Abarajithan, K. Vijayakumar, Alejandro Linares-Barranco, Thea Aarrestad, Ryan Kastner, Tobi Delbrück Within-Camera Multilayer Perceptron DVS Denoising. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 598 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license