The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ETS"( http://dblp.L3S.de/Venues/ETS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ets

Publication years (Num. hits)
2004 (27) 2005 (36) 2006 (40) 2007 (35) 2008 (31) 2009 (27) 2010 (65) 2011 (53) 2012 (57) 2013 (46) 2014 (59) 2015 (51) 2016 (55) 2017 (44) 2018 (33) 2019 (42) 2020 (43) 2021 (45) 2022 (45) 2023 (62)
Publication types (Num. hits)
inproceedings(876) proceedings(20)
Venues (Conferences, Journals, ...)
ETS(896)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 199 occurrences of 154 keywords

Results
Found 896 publication records. Showing 896 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Freddy Forero, Michel Renovell, Víctor H. Champac B-open: A New Defect in Nanometer Technologies due to SADP Process. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xijiang Lin, Sudhakar M. Reddy On Generating Fault Diagnosis Patterns for Designs with X Sources. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nimisha Limaye, Muhammad Yasin, Ozgur Sinanoglu Revisiting Logic Locking for Reversible Computing. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lizhou Wu, Siddharth Rao, Guilherme Cardoso Medeiros, Mottaqiallah Taouil, Erik Jan Marinissen, Farrukh Yasin, Sebastien Couet, Said Hamdioui, Gouri Sankar Kar Pinhole Defect Characterization and Fault Modeling for STT-MRAM Testing. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jung-Geun Park, Minsu Kim, Soo-Mook Moon, Sungyeol Kim, Insu Yang, Hyunsoo Jung PaTran: Translation Platform for Test Pattern Program. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 24th IEEE European Test Symposium, ETS 2019, Baden-Baden, Germany, May 27-31, 2019 Search on Bibsonomy ETS The full citation details ... 2019 DBLP  BibTeX  RDF
1Rezgar Sadeghi, Nooshin Nosrati, Katayoon Basharkhah, Zainalabedin Navabi Back-annotation of Interconnect Physical Properties for System-Level Crosstalk Modeling. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yu Huang 0005, Jakub Janicki, Szczepan Urban Non-Adaptive Pattern Reordering to Improve Scan Chain Diagnostic Resolution. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Luciano Bonaria, Maurizio Raganato, Matteo Sonza Reorda, Giovanni Squillero A Dynamic Greedy Test Scheduler for Optimizing Probe Motion in In-Circuit Testers. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yue Tian, Gaurav Veda, Wu-Tung Cheng, Manish Sharma, Huaxing Tang, Neerja Bawaskar, Sudhakar M. Reddy A supervised machine learning application in volume diagnosis. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Cemil Cem Gürsoy, Jaan Raik High-Level Combined Deterministic and Pseudo-exhuastive Test Generation for RISC Processors. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Vaishali H. Dhare, Usha Mehta Test Pattern Generator for Majority Voter based QCA Combinational Circuits targeting MMC Defect. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Foisal Ahmed, Michihiro Shintani, Michiko Inoue Feature Engineering for Recycled FPGA Detection Based on WID Variation Modeling. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dominik Sisejkovic, Farhad Merchant, Rainer Leupers, Gerd Ascheid, Sascha Kegreiss Inter-Lock: Logic Encryption for Processor Cores Beyond Module Boundaries. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sebastian Huhn 0001, Daniel Tille, Rolf Drechsler Hybrid Architecture for Embedded Test Compression to Process Rejected Test Patterns. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Michele Portolan, Riccardo Cantoro, Ernesto Sánchez 0001 A Functional Approach to Test and Debug of IEEE 1687 Reconfigurable Networks. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fernando Fernandes dos Santos, Philippe O. A. Navaux, Luigi Carro, Paolo Rech Impact of Reduced Precision in the Reliability of Deep Neural Networks for Object Detection. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mehmet Ince, Ender Yilmaz, Wei Fu, Joonsung Park, Krishnaswamy Nagaraj, LeRoy Winemberg, Sule Ozev Digital Built-in Self-Test for Phased Locked Loops to Enable Fault Detection. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1A. Manzini, P. Inglese, L. Caldi, R. Cantero, G. Carnevale, M. Coppetta, M. Giltrelli, N. Mautone, F. Irrera, Rudolf Ullmann, Paolo Bernardi A Machine Learning-based Approach to Optimize Repair and Increase Yield of Embedded Flash Memories in Automotive Systems-on-Chip. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor Hardware-Based Aging Mitigation Scheme for Memory Address Decoder. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Corrado De Sio, Sarah Azimi, Luca Sterpone On the Evaluation of the PIPB Effect within SRAM-based FPGAs. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fotis Foukalas, Paul Pop, Fabrice Theoleyre, Carlo Alberto Boano, Chiara Buratti Dependable Wireless Industrial IoT Networks: Recent Advances and Open Challenges. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Aleksa Damljanovic, Artur Jutman, Giovanni Squillero, Anton Tsertov Post-Silicon Validation of IEEE 1687 Reconfigurable Scan Networks. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Utkarsh Gupta, Priyank Kalla, Irina Ilioaea, Florian Enescu Exploring Algebraic Interpolants for Rectification of Finite Field Arithmetic Circuits with Gröbner Bases. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Görschwin Fey, Alberto García Ortiz Symbolic Circuit Analysis under an Arc Based Timing Model. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Panagiotis Georgiou, Iakovos Theodosopoulos, Xrysovalantis Kavousianos K3 TAM Optimization for Testing 3D-SoCs using Non-Regular Time-Division-Multiplexing. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Guilherme Cardoso Medeiros, Mottaqiallah Taouil, Moritz Fieback, Leticia Bolzani Poehls, Said Hamdioui DFT Scheme for Hard-to-Detect Faults in FinFET SRAMs. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alessandro Savino, Michele Portolan, Régis Leveugle, Stefano Di Carlo Approximate computing design exploration through data lifetime metrics. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Stefan Katzenbeisser 0001, Ilia Polian, Francesco Regazzoni 0001, Marc Stöttinger Security in Autonomous Systems. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hani Malloug, Manuel J. Barragán, Salvador Mir A 52 dB-SFDR 166 MHz sinusoidal signal generator for mixed-signal BIST applications in 28 nm FDSOI technology. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ghazanfar Ali, Jerrin Pathrose, Hans G. Kerkhoff IJTAG Compatible Timing Monitor with Robust Self-Calibration for Environmental and Aging Variation. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Michele Portolan, Alessandro Savino, Régis Leveugle, Stefano Di Carlo, Alberto Bosio, Giorgio Di Natale Alternatives to Fault Injections for Early Safety/Security Evaluations. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Benjamin Thiemann, Linus Feiten, Pascal Raiola, Bernd Becker 0001, Matthias Sauer 0002 On Integrating Lightweight Encryption in Reconfigurable Scan Networks. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Imed Jani, Didier Lattard, Pascal Vivet, Jean Durupt, Sébastien Thuries, Edith Beigné Test Solutions for High Density 3D-IC Interconnects - Focus on SRAM-on-Logic Partitioning. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Soumya Mittal, R. D. Shawn Blanton LearnX: A Hybrid Deterministic-Statistical Defect Diagnosis Methodology. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1T. Vayssade, Florence Azaïs, Laurent Latorre, Francois Lefevre Power Measurement and Spectral Test of ZigBee Transmitters from 1-bit Under-sampled Acquisition. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Leon M. A. van de Logt, Vladimir A. Zivkovic, Ingrid H. A. van Baast Model-driven AMS Test Setup Validation Tool prepared for IEEE P1687.2. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dhruv Patel 0002, Derek Wright, Manoj Sachdev Sense amplifier offset characterisation and test implications for low-voltage SRAMs in 65 nm. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yasamin Moradi, Krishnendu Chakrabarty, Ulf Schlichtmann An efficient fault-tolerant valve-based microfluidic routing fabric for single-cell analysis. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sujay Pandey, Suvadeep Banerjee, Abhijit Chatterjee ReiNN: Efficient error resilience in artificial neural networks using encoded consistency checks. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Daniele Rossi 0001, Vasileios Tenentes, S. Saqib Khursheed, Sudhakar M. Reddy Recycled IC detection through aging sensor. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xuanle Ren, R. D. (Shawn) Blanton, Vítor Grade Tavares Detection of IJTAG attacks using LDPC-based feature reduction and machine learning. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sukanta Bhattacharjee, Jack Tang, Mohamed Ibrahim 0002, Krishnendu Chakrabarty, Ramesh Karri Locking of biochemical assays for digital microfluidic biochips. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Senling Wang, Yoshinobu Higami, Hiroshi Takahashi, Hiroyuki Iwata, Yoichi Maeda, Jun Matsushima Fault-detection-strengthened method to enable the POST for very-large automotive MCU in compliance with ISO26262. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiaotong Cui, Kaijie Wu 0001, Ramesh Karri Hardware Trojan detection using path delay order encoding with process variation tolerance. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Johanna Sepúlveda, Damian Aboul-Hassan, Georg Sigl, Bernd Becker 0001, Matthias Sauer 0002 Towards the formal verification of security properties of a Network-on-Chip router. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Imed Jani, Didier Lattard, Pascal Vivet, Lucile Arnaud, Edith Beigné BISTs for post-bond test and electrical analysis of high density 3D interconnect defects. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Haralampos-G. D. Stratigopoulos Machine learning applications in IC testing. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tong-Yu Hsieh, Shang-En Chan, Chi-Hsuan Ho On no-reference on-line error-tolerability testing for videos. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Florent Cilici, Manuel J. Barragán, Salvador Mir, Estelle Lauga-Larroze, Sylvain Bourdel Assisted test design for non-intrusive machine learning indirect test of millimeter-wave circuits. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jan Schat ADC test methods using an impure stimulus: A survey. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shreyas Pramod Dixit, Divyeshkumar Dhanjibhai Vora, Ke Peng Challenges in Cell-Aware Test. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Daniel Kraak, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Francky Catthoor, Abhijit Chatterjee, Adit D. Singh, Hans-Joachim Wunderlich, Naghmeh Karimi Device aging: A reliability and security concern. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ahmed Atteya, Michael A. Kochte, Matthias Sauer 0002, Pascal Raiola, Bernd Becker 0001, Hans-Joachim Wunderlich Online prevention of security violations in reconfigurable scan networks. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Po-Yao Chuang, Cheng-Wen Wu, Harry H. Chen Covering hard-to-detect defects by thermal quorum sensing. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ciprian V. Pop, Andi Buzo, Georg Pelz, Horia Cucu, Corneliu Burileanu Methodology for determining the influencing factors of lifetime variation for power devices. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fatemeh Eslami, Eddie Hung, Steven J. E. Wilton Extending post-silicon coverage measurement using time-multiplexed FPGA overlays. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 23rd IEEE European Test Symposium, ETS 2018, Bremen, Germany, May 28 - June 1, 2018 Search on Bibsonomy ETS The full citation details ... 2018 DBLP  BibTeX  RDF
1Stefan Holst, Ruijun Ma, Xiaoqing Wen The impact of production defects on the soft-error tolerance of hardened latches. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Josep Balasch, Florent Bernard, Viktor Fischer, Milos Grujic, Marek Laban, Oto Petura, Vladimir Rozic, Gerard van Battum, Ingrid Verbauwhede, Marnix Wakker, Bohan Yang 0001 Design and testing methodologies for true random number generators towards industry certification. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Covering undetected transition fault sites with optimistic unspecified transition faults under multicycle tests. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mengyun Liu, Lixue Xia, Yu Wang 0002, Krishnendu Chakrabarty Design of fault-tolerant neuromorphic computing systems. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Srikanth Venkataraman Interconnect-aware tests to complement gate-exhaustive tests. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Harm van Schaaijk, Martien Spierings, Erik Jan Marinissen Automatic generation of in-circuit tests for board assembly defects. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Krzysztof Jurga, Stephen Sunter Measuring mixed-signal test stimulus quality. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yumin Zhou, Sebastian Burg, Oliver Bringmann 0001, Wolfgang Rosenstiel A software reconfigurable assertion checking unit for run-time error detection. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sarah Azimi, Boyang Du, Luca Sterpone On the mitigation of single event transients on flash-based FPGAs. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yu Li 0007, Ming Shao, Hailong Jiao, Adam Cron, Sandeep Bhatia, Erik Jan Marinissen IEEE Std P1838's flexible parallel port and its specification with Google's protocol buffers. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jan Peleska 0001 Model-based avionic systems testing for the airbus family. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Li-Wei Deng, Jin-Fu Li 0001, Yong-Xiao Chen Modeling and testing comparison faults of memristive ternary content addressable memories. Search on Bibsonomy ETS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Elena Ioana Vatajelu, Rosa Rodríguez-Montañés, Michel Renovell, Joan Figueras Mitigating read & write errors in STT-MRAM memories under DVS. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mathieu Da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre, Paolo Prinetto, Marco Restifo Scan chain encryption for the test, diagnosis and debug of secure circuits. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nektar Xama, Anthony Coyette, Baris Esen, Wim Dobbelaere, Ronny Vanhooren, Georges G. E. Gielen Automatic testing of analog ICs for latent defects using topology modification. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ciprian V. Pop, Corneliu Burileanu, Andi Buzo, Georg Pelz Application-aware lifetime estimation of power devices. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bernd Becker 0001, Adit D. Singh Best paper. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Peter C. Maxwell, Friedrich Hapke, Maija Ryynaenen, Peter Weseloh Bridge over troubled waters: Critical area based pattern generation. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Artur Pogiel, Janusz Rajski, Jerzy Tyszer ROM fault diagnosis for O(n2) test algorithms. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yiorgos Tsiatouhas Periodic Bias-Temperature Instability monitoring in SRAM cells. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Satyadev Ahlawat, Darshit Vaghani, Virendra Singh An efficient test technique to prevent scan-based side-channel attacks. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Suvadeep Banerjee, Abhijit Chatterjee Real-time self-learning for control law adaptation in nonlinear systems using encoded check states. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jan Schat ISO26262-compliant soft-error mitigation in register banks. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chia-Ming Chang, Yong-Xiao Chen, Jin-Fu Li 0001 A built-in self-test scheme for classifying refresh periods of DRAMs. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marcus Wagner, Hans-Joachim Wunderlich Probabilistic sensitization analysis for variation-aware path delay fault test evaluation. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Naoki Terao, Toru Nakura, Masahiro Ishida, Rimon Ikeno, Takashi Kusaka, Tetsuya Iizuka, Kunihiro Asada Extension of power supply impedance emulation method on ATE for multiple power domain. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ioannis Voyiatzis SIC pair generation in optimal time using rotatable counters. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tong Guan, Zhaobo Zhang, Wen Dong 0001, Chunming Qiao, Xinli Gu Data-driven fault diagnosis with missing syndromes imputation for functional test through conditional specification. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ingrid Kovacs, Marina Dana Topa, Andi Buzo, Georg Pelz Integrated circuits' characterization for non-normal data in semiconductor quality analysis. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amit Karel, Florence Azaïs, Mariane Comte, Jean-Marc Gallière, Michel Renovell, Keshav Singh Detection of resistive open and short defects in FDSOI under delay-based test: Optimal VDD and body biasing conditions. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michele Portolan, Manuel J. Barragán, Rshdee Alhakim, Salvador Mir Mixed-signal BIST computation offloading using IEEE 1687. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wu-Tung Cheng, Yue Tian, Sudhakar M. Reddy Volume diagnosis data mining. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Angelos Antonopoulos 0002, Christiana Kapatsori, Yiorgos Makris Security and trust in the analog/mixed-signal/RF domain: A survey and a perspective. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammad Saber Golanbari, Nour Sayed, Mojtaba Ebrahimi, Mohammad Hadi Moshrefpour Esfahany, Saman Kiamehr, Mehdi Baradaran Tahoori Aging-aware coding scheme for memory arrays. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 22nd IEEE European Test Symposium, ETS 2017, Limassol, Cyprus, May 22-26, 2017 Search on Bibsonomy ETS The full citation details ... 2017 DBLP  BibTeX  RDF
1Hani Malloug, Manuel J. Barragan Asian, Salvador Mir, Laurent Basteres, Hervé Le Gall Design of a sinusoidal signal generator with calibrated harmonic cancellation for mixed-signal BIST in a 28 nm FDSOI technology. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sebastian Simon, Deeksha Bhat, Alexander W. Rath, Jérôme Kirscher, Linus Maurer Coverage-driven mixed-signal verification of smart power ICs in a UVM environment. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andreina Zambrano, Hans G. Kerkhoff Improving the dependability of AMR sensors used in automotive applications. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michael A. Kochte, Matthias Sauer 0002, Laura Rodríguez Gómez, Pascal Raiola, Bernd Becker 0001, Hans-Joachim Wunderlich Specification and verification of security in reconfigurable scan networks. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mauricio D. Gutierrez, Vasileios Tenentes, Tom J. Kazmierski, Daniele Rossi 0001 Low power probabilistic online monitoring of systematic erroneous behaviour. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tareq Muhammad Supon, Rashid Rashidzadeh A phase locking test solution for MEMS devices. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohamed A. Abufalgha, Alex Bystrov Derivation of the reliability metric for digital circuits. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 896 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license