The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISQED"( http://dblp.L3S.de/Venues/ISQED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isqed

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (157) 2008 (171) 2009 (142) 2010 (134) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
ISQED(2573)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 569 occurrences of 384 keywords

Results
Found 2573 publication records. Showing 2573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Lomash Chandra Acharya, Arvind Kumar Sharma, Venkatraman Ramakrishnan, Ajoy Mandal, Sudeb Dasgupta, Anand Bulusu Variation Aware Timing Model of CMOS Inverter for an Efficient ECSM Characterization. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vikas Rao, Irina Ilioaea, Haden Ondricek, Priyank Kalla, Florian Enescu Word-Level Multi-Fix Rectifiability of Finite Field Arithmetic Circuits. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hongwu Peng, Shaoyi Huang, Tong Geng, Ang Li 0006, Weiwen Jiang, Hang Liu 0001, Shusen Wang, Caiwen Ding Accelerating Transformer-based Deep Learning Models on FPGAs using Column Balanced Block Pruning. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuze Wang, Peng Liu 0016, Xiaoxia Han, Yingtao Jiang Hardware Trojan Detection Method for Inspecting Integrated Circuits Based on Machine Learning. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Naveed 0002, Jeff Dix A Resistor-less, Nano-Watt CMOS Voltage Reference with High PSRR. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ali Mirzaeian, Sai Manoj P. D., Ashkan Vakil, Houman Homayoun, Avesta Sasan Conditional Classification: A Solution for Computational Energy Reduction. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Cemil S. Geyik, Zhichao Zhang, Kemal Aygün, James T. Aberle Machine Learning for Evaluating the Impact of Manufacturing Process Variations in High-Speed Interconnects. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Honghao Zheng, Nima Mohammadi, Kangjun Bai, Yang Yi 0002 Low-power Analog and Mixed-signal IC Design of Multiplexing Neural Encoder in Neuromorphic Computing. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Osama Waqar Bhatti, Madhavan Swaminathan Design Space Extrapolation for Power Delivery Networks using a Transposed Convolutional Net. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1N. Prashanth, Manisha Girish, Sandeep Motebennur, Krishna Prasanna, Karthik Suman Global Multi-voltage Interface Unit for Diverse Digital Logic. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shantanu Dutt, Xiuyan Zhang, Ouwen Shi On the Correlation between Resource Minimization and Interconnect Complexities in High-Level Synthesis. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhenxing Chang, Aijiao Cui, Ziming Wang, Gang Qu 0001 Novel Memristor-based Nonvolatile D Latch and Flip-flop Designs. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marwa Mansour, Abdelhalim Zekry, Mohammed K. Ali, Heba A. Shawkey Analysis and Design of a 5G Multi-Mode Power Amplifier using 130 nm CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shima Sedighiani, Kamlesh Singh, Roel Jordans, Pieter Harpe, José Pineda de Gyvez A Low Power Fully-Digital Multi-Level Voltage Monitor Operating in a Wide Voltage Range for Energy Harvesting IoT. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yutian Gui, Ali Shuja Siddiqui, Geraldine Shirley Nicholas, Marcus Hughes, Fareena Saqib A Lightweight Delay-based Authentication Scheme for DMA Attack Mitigation. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hsin-Tsung Lee, Chia-Chun Lin, Yung-Chih Chen, Chun-Yao Wang On Synthesizing Memristor-Based Logic Circuits in Area-Constrained Crossbar Arrays. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Eunice Naswali, Namhoon Kim, Pravin Chandran Fast and Accurate Library Generation Leveraging Deep Learning for OCV Modelling. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tzu-Hsuan Wang, Chih-Chun Hsu, Li Kao, Bing-Yu Li, Tung-Chun Wu, Tsao-Hsuan Peng, Rung-Bin Lin Six-track Standard Cell Libraries with Fin Depopulation, Contact over Active Gate, and Narrower Diffusion Break in 7nm Technology. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kleber Hugo Stangherlin, Manoj Sachdev Reliable Strong PUF Enrollment and Operation with Temperature and Voltage Optimization. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prashant Mata, Nanditha P. Rao Flush-Reload Attack and its Mitigation on an FPGA Based Compressed Cache Design. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Clara Otero Pereza Semiconductors for the next wave in Automotive. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Srinivasa Ramanujam, Wayne P. Burleson Reconfiguring the Mux-Based Arbiter PUF using FeFETs. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vikash Kumar Rai, Somanath Tripathy, Jimson Mathew TRGP: A Low-Cost Re-Configurable TRNG-PUF Architecture for IoT. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Brunno F. Goldstein, Victor da Cruz Ferreira, Sudarshan Srinivasan, Dipankar Das 0002, Alexandre Solon Nery, Sandip Kundu, Felipe M. G. França A Lightweight Error-Resiliency Mechanism for Deep Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shivani Shah, Sahithi Meenakshi Vutakuru, Nanditha P. Rao FPGA Accelerated Parameterized Cache Simulator. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ayesha Siddique, Kanad Basu, Khaza Anuarul Hoque Exploring Fault-Energy Trade-offs in Approximate DNN Hardware Accelerators. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rasika Joshi, John M. Acken Detection Limit for Intermediate Faults in Memristor Circuits. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chuliang Guo, Yanbing Yang, Li Zhang 0021, Shaodi Wang, He Li 0008, Keyu Long, Xunzhao Yin, Cheng Zhuo Regularization-Free Structural Pruning for GPU Inference Acceleration. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jainaveen Sundaram, Srinivasan Gopal, Thomas P. Thomas, Edward Burton, Erika Ramirez A Reconfigurable Asynchronous SERDES for Heterogenous Chiplet Interconnects. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kangjun Bai, Clare Thiem, Nathan McDonald, Lisa Loomis, Yang Yi 0002 Toward Intelligence in Communication Networks: A Deep Learning Identification Strategy for Radio Frequency Fingerprints. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Farah Ferdaus, Bashir M. Sabquat Bahar Talukder, Mehdi Sadi, Md. Tauhidur Rahman 0001 True Random Number Generation using Latency Variations of Commercial MRAM Chips. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Salma Elmalaki 0001, Berken Utku Demirel, Mojtaba Taherisadr, Sara Stern-Nezer, Jack J. Lin, Mohammad Abdullah Al Faruque Towards Internet-of-Things for Wearable Neurotechnology. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Faten Sahel, Pascal Guilbault, Farouk Vallette, Sylvain Feruglio A Crosstalk Modelling Method between a Power Supply and a Nearby Signal in High-density Interconnection PCBs. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nahid Mirzaie, Ron Rohrer 3D IC Packaging Utilizing a Metal Structure for Heat Reduction, Noise Shielding, and High Interconnect Density. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Guang Chen, Ing-Chao Lin, Yong-Che Wei A Novel NBTI-Aware Chip Remaining Lifetime Prediction Framework Using Machine Learning. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rabin Yu Acharya, Noeloikeau F. Charlot, Md. Mahbub Alam, Fatemeh Ganji, Daniel J. Gauthier, Domenic Forte Chaogate Parameter Optimization using Bayesian Optimization and Genetic Algorithm. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Aneesh Balakrishnan, Dan Alexandrescu, Maksim Jenihhin, Thomas Lange, Maximilien Glorieux Gate-Level Graph Representation Learning: A Step Towards the Improved Stuck-at Faults Analysis. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Md Rubel Ahmed, Hao Zheng 0001, Parijat Mukherjee, Mahesh C. Ketkar, Jin Yang 0006 Mining Message Flows from System-on-Chip Execution Traces. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Maher Sarraj, Haydar Bilhan, Wahed Mohammed Achieving Zero ADC Production Test Time with Self-calibration and BIST. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yehonatan Lusky, Avi Mendelson Sandbox Detection Using Hardware Side Channels. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tung-Liang Lin, Sao-Jie Chen An Error Resilient Design Platform for Aggressively Reducing Power, Area and Routing Congestion. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Takumi Komori, Yutaka Masuda, Jun Shiomi, Tohru Ishihara Integration of Minimum Energy Point Tracking and Soft Real-Time Scheduling for Edge Computing. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abdulrahman Alaql, Xinmu Wang, Md. Moshiur Rahman 0001, Swarup Bhunia SOMA: Security Evaluation of Obfuscation Methods via Attack Sequencing. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sandeep Sunkavilli, Zhiming Zhang, Qiaoyan Yu Analysis of Attack Surfaces and Practical Attack Examples in Open Source FPGA CAD Tools. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jan Moritz Joseph, Ananda Samajdar, Lingjun Zhu, Rainer Leupers, Sung Kyu Lim, Thilo Pionteck, Tushar Krishna Architecture, Dataflow and Physical Design Implications of 3D-ICs for DNN-Accelerators. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ryogo Koikel, Masahiro Fujita Efficient Reachability Analysis Based on Inductive Invariant Using X-value Based Flipflop Selection. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hongyu An, Mohammad Shah Al-Mamun, Marius K. Orlowski, Yang Yi 0002 A Three-dimensional (3D) Memristive Spiking Neural Network (M-SNN) System. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Satya K. Vendra, Malgorzata Chrzanowska-Jeske Fast Thermal Goodness Evaluation of a 3D-IC Floorplan. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vinay Saxena, Ankitha Reddy, Jonathan Neudorfer, John L. Gustafson, Sangeeth Nambiar, Rainer Leupers, Farhad Merchant Brightening the Optical Flow through Posit Arithmetic. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Miguel Costa, Srikanth Beerla Enabling ECC and Repair Features in an eFuse Box for Memory Repair Applications. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wen Zhang, Tao Liu, Mimi Xie, Jeff Jun Zhang, Chen Pan SAC: A Novel Multi-hop Routing Policy in Hybrid Distributed IoT System based on Multi-agent Reinforcement Learning. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Amit Garg, Nima Karimian Leveraging Deep CNN and Transfer Learning for Side-Channel Attack. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shanglin Zhou, Mimi Xie, Yufang Jin, Fei Miao, Caiwen Ding An End-to-end Multi-task Object Detection using Embedded GPU in Autonomous Driving. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rushabh Shah, Krishna Agrawal Formal Verification Aware Redundant Sequential Logic Optimization to Improve Design Utilization. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sabine Pircher, Johannes Geier, Alexander Zeh, Daniel Mueller-Gritschneder Exploring the RISC-V Vector Extension for the Classic McEliece Post-Quantum Cryptosystem. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Love Kumar Sah, Sheikh Ariful Islam, Srinivas Katkoori Defending Against Misspeculation-based Cache Probe Attacks Using Variable Record Table. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Takehiro Kitamura, Mahfuzul Islam 0001, Takashi Hisakado, Osami Wada Flash ADC Utilizing Offset Voltage Variation With Order Statistics Based Comparator Selection. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Kai Chuang, Yong Zhong, Yi-Hao Cheng, Bo-Yi Yu, Shao-Yun Fang, Bing Li 0005, Ulf Schlichtmann RobustONoC: Fault-Tolerant Optical Networks-on-Chip with Path Backup and Signal Reflection. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Khitam Alatoun, Bharath Shankaranarayanan, Shanmukha Murali Achyutha, Ranga Vemuri SoC Trust Validation Using Assertion-Based Security Monitors. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nitin Pundir, Farimah Farahmandi, Mark M. Tehranipoor Secure High-Level Synthesis: Challenges and Solutions. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Tao Yang, Subramanian S. Iyer Large-Scale Quantum System Design on Nb-based Superconducting Silicon Interconnect Fabric. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Unai Rioja, Lejla Batina, Jose Luis Flores 0001, Igor Armendariz Towards Automatic and Portable Data Loading Template Attacks on Microcontrollers. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Soomin Kim 0001, Taewhan Kim Minimally Allocating Always-on State Retention Storage for Supporting Power Gating Circuits. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 22nd International Symposium on Quality Electronic Design, ISQED 2021, Santa Clara, CA, USA, April 7-9, 2021 Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Srivastav, Ming Yi Lim, Babu Trp, K. Y. Jeevan LEC Vulnerability on Constant Propagation. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Akshay Kamath, Bharath Kumar, Sunil Aggarwal, Subramanian Parameswaran, Mitesh Goyal, Parag Lonkar, Somasunder Sreenath A Comprehensive Multi-Voltage Design Platform for System-Level Validation of Standard Cell Library. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Avani Dave, Nilanjan Banerjee, Chintan Patel CARE: Lightweight Attack Resilient Secure Boot Architecture with Onboard Recovery for RISC-V based SOC. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alice Sokolova, Mohsen Imani, Andrew Huang 0001, Ricardo Garcia, Justin Morris, Tajana Rosing, Baris Aksanli MACcelerator: Approximate Arithmetic Unit for Computational Acceleration. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Soroor Ghandali, Samaneh Ghandali, Sara Tehranipoor Profiled Power-Analysis Attacks by an Efficient Architectural Extension of a CNN Implementation. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prachi Kashikar, Sharad Sinha Compressing CNNs by Exponent Sharing in Weights using IEEE Single Precision Format. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Arun Venkatachar Confluence of AI/ML with EDA and Software Engineering. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Md. Shohidul Islam, Behnam Omidi, Khaled N. Khasawneh Monotonic-HMDs: Exploiting Monotonic Features to Defend Against Evasive Malware. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sherif Hany, Emad Hegazi, Hany Fekri Ragaai SALAH: Simulation-Assisted LAyout Hierarchy Construction. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Prabha Sundaravadivel, Parker Wilmoth, Ashton Fitzgerald SolicitudeSavvy: An IoT-based Edge Intelligent Framework for Monitoring Anxiety in Real-time. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shamik Kundu, Xingyu Meng, Kanad Basu Application of Machine Learning in Hardware Trojan Detection. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rajsaktish Sankaranarayanan, Archanna Srinivasan, Arch Zaliznyak, Sreelekha Mittai Chip Package Co-design and Physical Verification for Heterogeneous Integration. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abdulqader Nael Mahmoud, Frederic Vanderveken, Christoph Adelmann, Florin Ciubotaru, Said Hamdioui, Sorin Cotofana Achieving Wave Pipelining in Spin Wave Technology. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Parker Wilmoth, Prabha Sundaravadivel An Interactive IoT-based framework for Resource Management in Assisted living during pandemic. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Srivatsa Srinivasa, Akshay Krishna Ramanathan, Jainaveen Sundaram, Dileep Kurian, Srinivasan Gopal, Nilesh Jain, Anuradha Srinivasan, Ravi R. Iyer 0001, Vijaykrishnan Narayanan, Tanay Karnik Trends and Opportunities for SRAM Based In-Memory and Near-Memory Computation. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shobhit Belwal, Rajat Bhattacharjya, Kaustav Goswami 0002, Dip Sankar Banerjee ACLA: An Approximate Carry-Lookahead Adder with Intelligent Carry Judgement and Correction. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan ChaoLock: Yet Another SAT-hard Logic Locking using Chaos Computing. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yibo Liu, Shuyuan Yu, Shaoyi Peng, Sheldon X.-D. Tan Runtime Long-Term Reliability Management Using Stochastic Computing in Deep Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ayush Arunachalam, Shamik Kundu, Arnab Raha, Suvadeep Banerjee, Suriyaprakash Natarajan, Kanad Basu HardCompress: A Novel Hardware-based Low-power Compression Scheme for DNN Accelerators. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Han Wang, Hossein Sayadi, Avesta Sasan, Sai Manoj P. D., Setareh Rafatirad, Houman Homayoun Machine Learning-Assisted Website Fingerprinting Attacks with Side-Channel Information: A Comprehensive Analysis and Characterization. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Erhan Tiryaki, Akshay Sonawane, Lakshman Tamil Real-Time CNN Based ST Depression Episode Detection Using Single-Lead ECG. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tanmay Goel, Divyansh Maura, Kaustav Goswami 0002, Shirshendu Das, Dip Sankar Banerjee Towards Row Sensitive DRAM Refresh through Retention Awareness. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hongyu An, Kangjun Bai, Yang Yi 0002 Three-dimensional Memristive Deep Neural Network with Programmable Attention Mechanism. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dhruv Thapar, Manaar Alam, Debdeep Mukhopadhyay Deep Learning assisted Cross-Family Profiled Side-Channel Attacks using Transfer Learning. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mayank Baranwal, Udbhav Chugh, Shivang Dalal, Sukarn Agarwal, Hemangee K. Kapoor DAMUS: Dynamic Allocation based on Write Frequency in MUlti-Retention STT-RAM based Last Level Caches. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abdullah Ash-Saki, Mahabubul Alam, Swaroop Ghosh Impact of Noise on the Resilience and the Security of Quantum Computing. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hiwot Tadese Kassa, Tarunesh Verma, Todd M. Austin, Valeria Bertacco ChipAdvisor: A Machine Learning Approach for Mapping Applications to Heterogeneous Systems. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Geng Yuan, Zhiheng Liao, Xiaolong Ma, Yuxuan Cai, Zhenglun Kong, Xuan Shen, Jingyan Fu, Zhengang Li, Chengming Zhang 0006, Hongwu Peng, Ning Liu 0007, Ao Ren, Jinhui Wang, Yanzhi Wang Improving DNN Fault Tolerance using Weight Pruning and Differential Crossbar Mapping for ReRAM-based Edge AI. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ming-Yu Chang, Po-Yu Chao, Meng-Hsueh Chiang Back-Bias Modulated UTBB SOI for System-on-Chip I/O Cells. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Brian Crafton, Arijit Raychowdhury, Sung Kyu Lim Automatic Generation of Translators for Packet-Based and Emerging Protocols. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hyeran Jeon, Nima Karimian, Tamara Lehman A New Foe in GPUs: Power Side-Channel Attacks on Neural Network. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ali Mirzaeian, Jana Kosecka, Houman Homayoun, Tinoosh Mohsenin, Avesta Sasan Diverse Knowledge Distillation (DKD): A Solution for Improving The Robustness of Ensemble Models Against Adversarial Attacks. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Suruchi Sharma, Rikmantra Basu, Baljit Kaur Performance Investigation of a Si/Ge Heterojunction Asymmetric Double Gate DLTFET Considering Temperature and ITC Variations. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hema Sai Kalluru, Prasenjit Saha, Andleeb Zahra, Zia Abbas PVT and Aging Degradation Invariant Automated Optimization Approach for CMOS Low-Power High-Performance VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sathvik Tarikere Sathyanarayana, Anna-Antonia Berger, Mahesh Simpy Kumar, Akbay Erkan, Ramkrishna Paira Infineon Platform for SoC IO Ring and Package Design. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhangying He, Tahereh Miari, Hosein Mohammadi Makrani, Mehrdad Aliasgari, Houman Homayoun, Hossein Sayadi When Machine Learning Meets Hardware Cybersecurity: Delving into Accurate Zero-Day Malware Detection. Search on Bibsonomy ISQED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license